南京航空航天大学数子电路与系统设计数字时钟实验课程设计实验报告.pdfVIP

南京航空航天大学数子电路与系统设计数字时钟实验课程设计实验报告.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

南京航空航天大学

数子电路与系统设计实验

数字闹钟

学院:

班级:

学号:

姓名:

2013年12月

目录

1.设计目的

1.1设计指标3

1.2设计要求3

2.功能原理

2.1数字钟的基本原理3

2.2原理框图4

3.功能模块

3.1振荡电路4

3.2秒计数电4

3.3分计数电路5

3.4时计数电路6

3.5显示电路6

3.6校时电路7

3.7定时起闹部分7

4.系统电路总图

5.附加功能

6.遇到的问题及解决方法

7.经验体会

8.参考文献

2/8

1.设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相

比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得

到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻

辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字

钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路

的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进

一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标

1.时间以24小时为一个周期;

2.显示时、分、秒;

3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

4.可以闹铃

1.2设计要求

1、电路设计原理说明

2、硬件电路设计(要求画出电路原理图及说明)

3、实物制作:完成的系统能达到题目的要求。

2.功能原理

2.1数字钟的基本原理

数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、

整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种

的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒

计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,

该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每

累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数

3/8

器”采用24进制计数器,可以实现24小时的累计。LED数码管将“时、分、秒”

计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2原理框图

时显示分显示

时译码分译码

时计时分计时秒计时秒信号

校准启闹单稳态电路闹铃

3.功能模块

3.1振荡电路

多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,

就能输出一定频率的矩形波形(自激振荡)。用555实现多谐振荡,需要R1,R2

和电容,并接+5V的直流电源。

振荡周期:T=0.69(R1+2R2)C

其中当R1=1.5K,R2=2.5K,C=220uF时,T=1s

3.2秒计数电路

4/8

秒的个位部分为逢十六进一,十六位部分为逢十六进一,从而共同完成60

进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用

十六进制计数器74LS16

您可能关注的文档

文档评论(0)

134****8018 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档