多路(十路)智力竞赛抢答器设计报告(完全版).pdfVIP

多路(十路)智力竞赛抢答器设计报告(完全版).pdf

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

多路(十路)智力竞赛抢答器设计报告(完全版)--第1页

多路智力竞赛抢答器设计报告

一、题目:

任务

设计一个多路智力竞赛抢答器。

设计要求

1、基本要求

(1)设计一个4路(1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,

控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。

(2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持

到系统被清零为止。

2、发挥部分

(1)扩展为10路(1~10)智力竞赛抢答器。

(2)设计抢答最长时间(30秒)限制和倒计时显示。

二、设计方案选取与论证:

获得如图所示十路30秒倒计时抢答器的原理图。原理图由倒计时部分和抢答器部分组成。

1、原理分析

抢答器部分原理:

用10个选手按键通过二极管直接控制4511输入端,一旦按键接通,4511则使7段数

码管显示相应的数字。

多路(十路)智力竞赛抢答器设计报告(完全版)--第1页

多路(十路)智力竞赛抢答器设计报告(完全版)--第2页

初始状态个位和十位数码管均显示“10”,其锁存端电位为0。在显示数字1—9时,

十位数码管保持显示“0”,a段输出是高电平,与个位数码管的f端输出进行运算后接

入4511的锁存端。将个位数码管的g端输出也接至此锁存端。观察4511真值表:

可知,和g端输出在1—10的显示过程中至少其中之一输出高电平。故,当抢答器

部分因为按键的输入导致4511输出使得数码管显示从“00”跳变到“01”—“10”的数字

时,使得两个4511连接起来的锁存端电位同时从低电平跳变为高电平,从而实现锁存功

能。锁存以后,无论按键如何输入,也不会改变数码管显示,仅当清零按键清零之后才可

使锁存端电位置零。

倒计时部分原理:

倒计时部分与抢答器部分的连接控制(1)抢答器部分4511的锁存端(2)倒计时部分

555的端。

倒计时部分由555定时器组成多些振荡器,产生时间脉冲,由两片74LS192计数器芯

片实现减法计数。当主持人开关打开时,倒计时预置初始数值为30减数至0,当计数至0

时,锁存555使振荡器不再输出脉冲,并通过与抢答器部分的连接使抢答器部分4511的锁

存端置高电平,因此当计时器从30秒倒计时至0期间无按键输入后,会同时锁存倒计时部

分和抢答器部分,知道主持人开关清零为止。

2、整机原理框图

抢答按钮译码器电路数码显示

主持人开关锁存器报警电路

定时电路减数电路译码器电路数码显示

3、优缺点初步分析

初步分析,此原理图满足设计要求,是一个十路倒计时抢答器。倒计时时间为30

秒,主持人开关可控制清零使得电路处于初始状态,即:抢答部分显示“00”,倒计

时部分时间显示初始时间“30”秒。当主持人清零开关打开时,正常进行倒计时和抢

答功能。在情况(1)选手抢答,(2)倒计时结束,两种情况下,电路锁存,不再受

选手开关影响。缺陷在于,蜂鸣在抢答结束或倒计时结束时会长鸣,而不是短促的一

声。所以我在原理图的蜂鸣

文档评论(0)

精品文档 + 关注
实名认证
文档贡献者

从事一线教育多年 具有丰富的教学经验

1亿VIP精品文档

相关文档