毕业论文:十字路口交通信号灯plc控制系统设计与调试(终稿).doc

毕业论文:十字路口交通信号灯plc控制系统设计与调试(终稿).doc

  1. 1、本文档共48页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
百色职业学院 机电一体化专业毕业设计 论文题目: 十字路口交通信号灯plc控制系统设计与调试 学生姓名:韦君 学 号:140682008031082 指导教师:罗英和 专 业:机电一体化 年 级:08机电四班 百 色 职 业 学 院 ?百色职业学院机械工程系 毕业论文声明 ? 本人郑重声明:所呈交的毕业论文,是本人在老师的指导和通过查找资料的情况下所完成的。除文中已经注明引用的内容外,本论文的成果不包含任何他人创作的、已公开发表或者没有公开发表的作品的内容。对本论文所涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确方式标明。本论文声明的法律责任由本人承担。 签名: 韦君 2011 年 3 月 12 日 摘要 当今时代是一个自动化时代,交通灯控制等很多行业的设备都与密切相关。因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。自从交通灯诞生以来,其内部的电路控制系统就不断的被改进设计方法也开始多种多样,从而使交通灯显得更加智能化。以微处理器为核心,普遍采用依据继电接触器控制系统电气原理图编制的梯形图语言进行程序设计,编程容易,功能扩展方便,修改灵活结构简单,抗干扰能力强。)关键词 PLC;交通控制自动化…………………………………………….4 1.1 课题介绍及研究意义………………………………..4 1.2 相近研究课题的特点及优缺点分析………………..4 1.3 现行研究存在的问题及解决办法….4 1.4 本论文的目的及工作内容…………………………5 1.5 plc的简介……………………6 1.6 plc的用途与特点 ……………………6 第二章 系统方案设计··························9 2.1 研究设计中要解决的问题···················9 2.2方案原理分析·····························9 2.2.1 功能要求·····························9 2.2.2 性能要求·······························10 2.2.3 工作环境要求··························10 2.3 可行性研究····································11 2.3.1 要求解决问题的可行性分析及复杂性分析·····11 2.3.2 具体实现中采用的关键技术·················12 2.3.3经济可行性分析···························13 2.3.4 设计任务和内容·························13 2.3.5 控制系统设计概要·······················13· 第三章 十字路口交通信号灯的具体设计................................18 3.1 十字路口交通信号灯的控制要求·······················18 3.2 十字路口交通信号灯控制系统电路图·······························20 3.3 plc硬件控制电路设计······································22 3.4 plc控制程序设计······································22 3.5 详述控制系统的实现方法···································23 3.6检测与调试··················25 3.6.1 调试中遇到的问题··························26 3.6.2 问题的分析与解决方案················26 第4章 交通系统的设计···················28 4.1交通系统的发展趋势···················28 4.2 选择VHDL硬件描述语言设计的优势···············28 4.3红绿灯交通信号系统功能的描述···············28 4.4红绿灯

文档评论(0)

14576 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档