- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化时,则产生二进制移相键控(2PSK)信号。PSK信号码元的“0”和“1”分别用两个不同的初始相位0和 来表示,而其振幅和频率则保持不变。 PSK信号的产生 1.相乘法 是用二进制基带不归零矩形脉冲信号与载波相乘,得到相应的相位相反的两种码元。 2.选择法 是用此基带信号控制一个开关电路,以选择输入信号,开关电路的输入信号是相位相差180度的同频载波。 相位键控的分类 数字调相(相位键控)常分为: 1.绝对调相,记为 CPSK; 2.相对调相,记为 DPSK。 1.绝对调相( CPSK ) 所谓绝对调相即CPSK,是利用载波的不同相位去直接传送数字信息的一种方式。 对二进制CPSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“ 0”码时,已调信号相对于载波的相位为π;数字基带信号为“1”码时,已调信号相对于载波相位为同相。 2.相对调相(DPSK) 相对调相(相对移相),即DPSK,也称为差分调相,这种方式用载波相位的相对变化来传送数字信号,即利用前后码之间载波相位的变化表示数字基带信号的。 所谓相位变化又有向量差和相位差两种定义方法。 向量差是指前一码元的终相位与本码元初相位比较,是否发生相位变化。而相位差是指前后两码元的初相位是否发生了变化。 对同一个基带信号,按向量差和相位差画出的DPSK波形是不同的。 例如在相位差法中,在绝对码出现“1”码时,DPSK的载波初相位即前后两码元的初相位相对改变π。出现“0”码时,DPSK的载波相位即前后两码元的初相位相对不变。 在向量差法中,在绝对码出现“1”码时,DPSK的载波初相位相对前一码元的终相位改变π。出现“0”码时,DPSK的载波初相位相对前一码元的终相位连续不变。在画DPSK波形时,第一个码元波形的相位可任意假设。 绝对移相波形规律比较简单,而相对移相波形规律比较复杂。 绝对移相是用已调载波的不同相位来代表基带信号的,在解调时,必须先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。由于接收端恢复载波常常要采用二分频电路,它存在相位模糊,即用二分频电路恢复的载波有时与发送载波同相,有时反相,而且还会出现随机跳变,这样给绝对移相信号的解调带来困难。 而相对移相,基带信号是由相邻两码元相位的变化来表示,它与载波相位无直接关系,即使采用同步解调,也不存在相位模糊问题,因此在实际设备中,相对移相得到了广泛运用。 CPSK信号的产生 1. CPSK调制的直接调相法 2. CPSK调制方框图 3. CPSK调制VHDL程序 1.直接调相法 直接调相法用一个受基带脉冲控制的开关电路来控制电路的输出,当基带脉冲为正的时候,输出原来的波形,当基带脉冲为负的时候,将输入信号送入反相器,从而使输出信号与输入信号的相位相反 2. CPSK调制方框图 3. CPSK调制VHDL程序 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CPSK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --已调制输出信号 end CPSK; architecture behav of CPSK is signal q:std_logic_vector(1 downto 0); --2位计数器 signal f1,f2:std_logic; --载波信号 begin process(clk) --此进程主要是产生两重载波信号f1,f2 begin if clkevent and clk=1 then if start=0 then q=00; elsif q=01 then f1=1;f2=0;q=q+1; elsif q=11 then f1=0;f2=1;q=00; else f1=0;f2=1;q=q+1; end if; end if; end process; process(clk,x) --此进程完成对基带信号x的调制 begin if clkevent and clk=1 then if q(0)=1 th
文档评论(0)