- 1、本文档共82页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA 部分习题参考答案.doc
部分习题参考答案
3.6 习题3
3.合法标识符:my_counter、Decoder_1、data__BUS、Sig_N
非法标识符:2FFT、Sig_#N、Not-Ack、ALL_RST_、return、entity
7.参考程序为:
entity NOR2a is
Port( A,B: in std_logic;
C : out std_logic );
end NOR2a;
15. Q=
4.4 习题4
1.用PROCESS语句和CASE-WHEN语句,参考程序如下:
library ieee;
use ieee.std_logic_1164.all;
entity decoder3_8 is
port(a,b,c,g1,g2a,g2b: in std_logic;
y: out std_logic_vector(7 downto 0));
end decoder3_8;
architecture a of decoder3_8 is
signal dz:std_logic_vector(2 downto 0);
begin
dz=cba;
process (dz,g1,g2a,g2b)
begin
if(g1=1and g2a=0and g2b=0)then
case dz is
when 000= y
when 001= y
when 010= y
when 011= y
when 100= y
when 101= y
when 110= y
when 111= y
when others=y=XXXXXXXX;
end case;
else
y
end if;
end process;
end ;
用WHEN-ELSE语句,参考程序如下:
……
architecture a1 of decoder3_8 is
signal dz:std_logic_vector(2 downto 0);
begin
dz=cba;
with dz select
doutwhen 000,
when 001,
when 010,
when 011,
when 100,
when 101,
when 110,
when 111,
XXXXXXXX when others;
end a1;
2.参考程序如下:
entity v74x148 is
port ( s: in std_logic;
i: in std_logic_vector ( 7 downto 0 );
y: out std_logic_vector ( 2 downto 0 );
yex , ys: out std_logic );
end v74x148;
architecture behave of v74x148 is
begin
process ( s , i )
variable j,k: integer range 7 downto 0;
variable done: bit;
begin
done := ‘0’; ys=’0’;
if s = ‘1’ then yex= ’1’; ys = ‘1’; y = “111”;
else
for j in 7 downto 0 loop
if done = ‘1’ then null;
elsif i(j) = ‘0’ then
done :=
文档评论(0)