vhdl结课实验报告--clock.docVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
vhdl结课实验报告--clock.doc

VHDL结课实验 题目: 数字钟 姓 名 学 号 年级专业 指导教师 2012年 6月 25日 一.实验题目:基于VHDL的数字时钟设计 二.实验目的:进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法和VHDL语言行为描述的编写方法。 三.实验环境:PC个人计算机、Windows XP操作系统、Quartus II集成开发环境软件。 四、: (1) 计时功能:是设计的基本功能,可进行时、分、秒计时,并显示(2) 调时调分调闹钟功能:当需要校或者要设置闹钟的时间时,可通过实验上的按键控制。(3) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出, 五、设计: 数字钟电路的基本结构由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计时,当计时到23时59分59秒时,再来一个计数脉冲,则计数器清零,重新开始计时。秒计数器的计数时钟为1Hz的标准信号数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6个数码管来动态显示。数字钟除了能够计时外,还能够对时间进行调整。当数字钟处于计时状态时,秒、分、时计数器的计数时钟信号分别为,秒的进位, 分的进位当数字钟处于状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,控制数字钟的工作状态即控制数字钟,使其分别工作于计时,5个状态。当时是对时钟进行校时以二十四进制循环自动增加时钟进行校二十四进制循环自动增加秒从零开始计时,每秒加一,当来一个脉冲后,秒十位和秒个位清零,并且向分个位从零开始直到六十循环;分位的原理同秒的一样当计数到时清零并且不向任何位进位 七、 总结 通过本次实验,library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port( clk1:in std_logic; k1,k2,k3:in std_logic; key:in std_logic; clken:buffer std_logic; a_dp:out std_logic_vector(7 downto 0); d:out std_logic_vector(5 downto 0) ); end entity; architecture rtl of clock is signal clk:std_logic; signal count:std_logic_vector(9 downto 0); signal light:std_logic_vector(2 downto 0); ---6个led显示灯 signal h_high,h_low,m_high,m_low,s_high,s_low:std_logic_vector(3 downto 0); ---计时时间 signal h1,h2,s1,s2,m1,m2: std_logic_vector(3 downto 0); ---显示时间 signal seth1, seth2, setm1, setm2:std_logic_vector(3 downto 0); ---设置时间 process(clk1)is ---分频 begin if(clk1event and clk1=1)then if(count=1111111111)then count=0000000000; clk=1; else count=count+1; end if; if(clk=1 and count/=0000000000)then clk=0; end if; end if; end process; ---正常计时: process(clk,h_low,m_high,m_low,s_high,s_low,k1,k2,k3)is ---小时十位 begin if(clkevent and clk=1)then if (h_high=0010 and h_low=0011 and m_hig

文档评论(0)

整理王 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档