(二)VHDL语言程序的基本结构.ppt

  1. 1、本文档共86页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
(二)VHDL语言程序的基本结构.ppt

【例2-3】 2输入或非门的实体说明程序: ENTITY nor2 IS PORT(a,b:IN bit; --说明两个输入端口a、b z :OUT bit); --说明一个输出端口z END nor2; 【例2-4】 3位计数器的实体说明程序: ENTITY count3 IS GENERIC(m:TIME:=5ns); PORT(clock,enable:IN STD_LOGIC; qout:OUT INTEGER RANGE 0 TO 7); END count3; 类属参数说明语句的书写格式如下: GENERIC(常数名:数据类型:=设定值; ……; ……; 常数名:数据类型:=设定值); 一个异或门实体对应的3种描述方式的构造体 【例2-8】 异或门构造体的数据流方式描述: ARCHITECTURE data_flow OF xor_gate IS BEGIN c=(a and (not b)) or ((not a) and b); END data_flow; 【例2-9】 异或门构造体的行为描述: ARCHITECTURE behavioral OF xor_gate IS PROCESS (a, b) BEGIN IF(a=‘0’ AND b=‘1’) THEN c=‘1’; ELSIF(a=‘1’ AND b=‘0’) THEN c=‘1’; ELSE c=‘0’; END IF; END PROCESS; END behavioral; 【例2-10】 异或门构造体的结构描述: ARCHITECTURE structure OF xor_gate IS COMPONENT not_gate PORT(a: IN BIT; b: OUT BIT); END COMPONENT; COMPONENT and_gate PORT(a,b: IN BIT; c: OUT BIT); END COMPONENT; COMPONENT or_gate PORT(a,b: IN BIT; c: OUT BIT); END COMPONENT; SIGNAL na, nb: BIT; SIGNAL c1, c2: BIT; BEGIN u1: not_gate PORT MAP(a, na); u2: not_gate PORT MAP(b, nb); u3: and_gate PORT MAP(a, nb, c1); u4: and_gate PORT MAP(b, na, c2); u5: or_gate PORT MAP(c1, c2, c); END structure; 2. 定义语句 定义语句位于ARCHITECTURE和BEGIN之间,用于对构造体内部所使用的信号、常数、数据类型和函数等进行定义,例如: ARCHITECTURE behav OF mux IS SIGNAL nes1:BIT; ? BEGIN ? END behav; 信号定义和端口说明的语句一样,应有信号名和数据类型的说明。因它是内部连接用的信号,故没有也不需有方向的说明。 … … 3. 并行处理语句 并行处理语句处于语句 BEGIN 和 END 之间,这些语句具体地描述了构造体的行为及其连接关系。例如,二选一的数据流方式描述可以如例2-8 所示。 【例2-8】 ENTITY mux2 IS P

文档评论(0)

cai + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档