单向移位寄存器.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
广东水利电力职业技术学院 可编程逻辑器件技术 项目报告书 项目名称: 电 力 系 班  级:09 电 子 信 息 工 程 技 术 姓  名: 赖 文 喜 指导老师: 龚 兰 芳 项目四 单向移位寄存器 实验目的 让学生更深一层地理解寄存器的使用和作用 熟悉编辑移位寄存器的VHDL程序文本 进一步熟练地操作MAX+plus II软件 能够认识到天祥EPM240实验板的使用 实验条件 PC机一台 开发软件:MAX+plus II 实验设备:天祥EPM240实验板 主芯片:EPM240GT100C5 实验原理 寄存器是由具有存储功能的触发器组合起来构成的。一个触发器可以存储1位二进制代码,存放N位二进制代码的寄存器,需要N个触发器来构成。 单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移 N个单向移位寄存器可以寄存N位二进制代码,N个CP脉冲即可完成串行输入工作,此后Q0~Qn-1端获得并行的N位二进制数码,再用N个脉冲又可以实现串行输出操作 若串行输入端状态为0,刚N个CP脉冲后,寄存器便清零 右移移位寄存器特性表 Ld CP D Q 功能 1 X D D 异步置数 0 ↑ D 循环右移 实验步骤 使用VHDL顺序语句,完成四舍五入判别器的文本编辑 完成文本编辑,进行成功编译 选芯片型号与分配引脚 再次成功编译 执行Tools—以驱动Programmer工具 点击【Hardware…】按钮设置下载电缆,选择Hardware: ByteBlasterMV or ByteBlasterII , Port : LPT1 开始下载到天祥EPM240实验板 参考资料 源程序 library ieee; use ieee.std_logic_1164.all; entity yiwei_r is port(ld:in std_logic; cp:in std_logic; d:in std_logic_vector(3 downto 0); q:buffer std_logic_vector(3 downto 0)); end yiwei_r; architecture one of yiwei_r is begin process(ld,cp,d) variable aa:std_logic_vector(3 downto 0); begin if ld=1 then q=d; elsif cpevent and cp=1 then aa(2 downto 0):=q(3 downto 1); q=aa; end if; end process; end one; 分配引脚 说明: CP接到拨码开关PIN_30,以控制脉冲的产生 d[3] ~d[0]分别依次接到拨码开关PIN_39~PIN_36,以控制数码管段的亮灭 Ld接到PIN_35控制置数复位 实验调试过程存在的问题 在调试的过程中,移位现象不明显,稳定性不好

文档评论(0)

82393aa + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档