《Xilinx ISE与Modelsim联合仿真平台搭建及简单实例操作》.pdf

《Xilinx ISE与Modelsim联合仿真平台搭建及简单实例操作》.pdf

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《Xilinx ISE与Modelsim联合仿真平台搭建及简单实例操作》.pdf

Xilinx ISE 与Modelsim 联合调试平台搭建 版本:V1.0 邮箱:648636762@ 安装环境:Windows 7 (X64 ),Xilinx ISE Design Suite 14.4 (D:\Xilinx\ 14.4),Modelsim 10.1b (D:\modeltech_10.1b )。对于版本的选择,建议采用较新的版本进行配套,Modelsim10.1b 采用32 位或者64 位均可,这里我采用32 位版本。 Xilinx ISE 与Modelsim 的安装顺序随意。 首先安装Xilinx_ISE_DS_14.4_P.49d.3.0 ,安装大约需要20G 左右的硬盘空间,所以安 装路径建议选择非系统盘进行安装,其他设置均按默认操作即可,以实验室四核电脑作为例 子,安装过程大概需要持续一个小时,请耐心等待。 Note :安装过程中请关闭所以的杀毒软件和防火墙,并拔出除鼠标外的其他USB 设备 以加快安装速度。 安装完成以后会弹出证书管理窗口,此时选择copy lic,在窗口中选择上面截图中的 xilinx_ise.lic ,看到下方30 天许可变为permanent 代表激活成功。 打开桌面生成的快捷图标或者在开始菜单中选择打开ISE 。 接着进行Modelsim10.1b 的安装。 首先安装modelsim-win32-10.1b-se,然后对Modelsim 进行破解,破解步骤见 modelsim_10.1_patch 文件夹内的readme.txt 。 两个软件都安装好后便是进行联合调试了。 首先需要编译Modelsim 的Xilinx 库,选择开始—所有程序—Xilinx ISE Design Suite 14.4 —ISE Design Tools—64-bit Tools (32 位系统选择32-bit Tools )—Simulation Library Compilation Wizard : 打开工具之后,在“Select Simulator”下面选中你所装好的Modelsim 版本,这里选择 “Modelsim SE”,在“Simulator Executable Location”下面填入Modelsim.exe 的所在的文件 夹,点“Browse …”按钮添加也行,如 “D:\modeltech_10.1b\win32 ”,如果先装的Modelsim 一般这一栏ISE 已经自动关联了,如下图所示,然后Next 。 之后是选择需要编译的语言,选“Both VHDL and Verilog ”这样两种语言都可以使用, 如下图,然后Next 。 接下来选择需要编译的器件库。 Note :这里选择自己需要的期间即可,不要全选,以Spartan3E 为例,编译需要用时大 概四十分钟左右,编译库大小1.15G,因此如果默认全选估计时间和库大小都会比较惊人。 这里我们选择Spartan3E (看需求进行选择),然后Next 。 这一页面默认即可,不做改动,直接next 。 接下来是编译库的位置,建议将库放到Modelsim 的安装目录下,例如: D:\modeltech_10.1b\xilinx_lib ,其他选项不变,然后选择 “Launch Compiled Process ”,然后 就是漫长的编译了,请耐心等待。 Note :文件夹的名字和整个路径中绝对不能有中文或空格。 编译完后就会出现一些编译日志。如果出现Err ,请检查版本或者路径问题。 用Xilinx 库编译完成后,需要设置Xilinx ISE 与Modelsim 的关联操作。之前的编译完 成后Xilinx 库中已经生成了Modelsim 仿真需要的库,但是Modelsim 中也要进行相应的设 置,让Modelsim 可以识别到Xilinx 编译生成的库。步骤如下: 在“D:\Xilinx\ 14.4\ISE_DS\ISE ”文件夹中找到“modelsim.ini ”。 打开“modelsim.ini ”,用记事本打开,找到“[Library]”,下面一行的“others = $MODEL_TECH/../modelsim.ini”和行的开头带分号(;)的全部都不需要。那些行开头没有 分号的,格式一般是“X ···X = 路径”,一直到“[vcom] ”之上的全部都要,选的仿真库 多时可有好长的一段,全

文档评论(0)

wgvi + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档