《交通灯控制器的课程设计》.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《交通灯控制器的课程设计》.doc

课程设计 课题:交通灯控制器的设计 一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。 5.设计由晶振电路产生1Hz标准秒信号的单元电路。 6.要求对整体电路进行仿真,观察并记录下仿真波形。 三、设计原理:? 交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮 灭 灭 灭 灭 亮 S2. 灭 闪 灭 灭 灭 亮 S3. 灭 灭 亮 亮 灭 灭 S4. 灭 灭 亮 灭 闪 灭 然后重复状态S1. 分频器 分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器将时钟信号分频成1HZ和4HZ的时钟信号。 控制器 控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。 计数器 这里需要的计数器的计数范围为30-0。计到0后,下一个时钟沿回复到30,开始下一轮计数。此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。 四、电路设计 1、分频单元电路设计 2、30减计数单元电路设计 3、红黄绿灯控制单元电路设计 4、译码显示单元电路设计 设计流程 五、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jiaotong is port(clk,clr:in std_logic; clk1,clk2,clk3:buffer std_logic; ---分频信号 pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示 C1:out std_logic_vector(3 downto 0);---BCD码高四位表示 C0:out std_logic_vector(3 downto 0));---BCD码低四位表示 end jiaotong; architecture one of jiaotong is signal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯 signal div:integer range 0 to ---分频1 signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁 type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态 signal state:st; begin C:process(clk) begin if clkevent and clk=1 then---对20HZ进行分频,1HZ if(dithen div=div+1; clk1=0; else div=0; clk1=1; end if; if(divn2499999)then --

您可能关注的文档

文档评论(0)

wyth + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档