网站大量收购独家精品文档,联系QQ:2885784924

QuartusII设计流程.ppt

  1. 1、本文档共30页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
QuartusII设计流程.ppt

QuartusⅡ软件及其应用 QuartusⅡ的设计流程 图形编辑输入法 编辑设计文件 1、建立设计项目 打开 QuartusII ,选择菜单 “File”→“New Project Wizard” 即弹出工程设置对话框 。 2、进入文本编辑方式 选择菜单“File”→“New”, 在 New 窗口中的“Device Design Files”中选择编辑 文件的语言类型,这里选 择“VHDL File” 。 3、文件存盘 文件存盘。选择菜单“File” →“Save As”,找到要保存 的文件夹F:\CNT,文件名 应与实体名一致 。当出现 图 中所示的“Do you want to create a new project with this file?”对话框时, 若单击“是”,则直接进入创 建工程流程 。 4、选择目标芯片 执行Assignments│Device 命令,选择目标芯片。 syclone →EP1C3TC144 5、编译 选择 Processing 菜单的 Start Compilation 项或 Quartus II工具栏中的Start Compilation快捷键,启动 全程编译。 全程编译成功 6、引脚锁定 打开工程,在菜单Assignments中选Assignments Editor按 钮,先单击右上方的Pin,在location列中双击,选中需要的 引脚名,依此类推,锁定所有引脚。最后点击盘。 引脚锁定后,必须再编译一次。 上面的表格里To列对应的行中双击鼠标左键,将显示本工程中所有的输入输出端口,选择要分配的端口即可,在 Location 对应的行中双击鼠标左键,将显示芯片所有的引脚,选择要使用的引脚即可。引脚锁定后,存储引脚锁定信息,之后必须再编译一次(Processing→Start Compilation) 7、编程下载 选择主菜单中Tools的Programmer命令 或直接单击Start Programming按钮。 图形编辑输入方式 1、建立设计项目 2、进入图形编辑方式 3、文件存盘 4、选择目标芯片 5、编译 6、引脚锁定 7、编程下载 在原理图编辑窗中的任何一个位置上用双击鼠标,弹出一个元件选择窗。 一位全加器原理图 实验箱使用注意事项 (1)闲置不用GW48系统时,必须关闭电源,拔下电源插头。 (2)实验中当选中某种模式后,要按复位键进入该结构模式工作 (3)更换目标芯片时要注意不要插反或插错,也不要带电插拔,确信插对后才能开电源。其他接口都可带电插拔。 实验电路信号资源符号图说明 GW48的连接方式共有11套电路结构模式 P240页 结构模式NO.0。此电路可用于设计频率计,周期计,计数器等等 结构模式NO.5。 (1) 结构图NO.0此电路可用于设计频率计、周期计、计数器等。 (2) 结构图NO.1:适用于作加法器、减法器、比较器或乘法器等。 (3) 构图NO.2:可用于作VGA视频接口逻辑设计,或使用数码管8至数码管5共4个数码管作七段显示译码方面的实验; (4) 构图NO.3:特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统。也可以产生时间长度可控的单次脉冲。 (5) 构图NO.4适合于设计移位寄存器和形计数器等。 (6) 构图NO.5此电路结构有较强的功能,特点有3个单次脉冲发生器。主要含9大模块:普通内部逻辑设计模块、RAM/ROM接口、VGA视频接口、2个PS/2键盘接口、A/D转换接口、D/A转换接口、LM311接口、单片机接口、RS232通信接口。 (7) 结构图NO.6此电路与NO.2相似,但增加了2个4位2进制数发生器。 (8) 结构图NO.7:此电路适合于设计时钟、定时器、秒表等。 (9) 结构图NO.8:此电路适用于作并进/串出或串进/并出等工作方式的寄存器、序列检测器和密码锁等逻辑设计。 (10) 结构图NO.9:此电路结构可验证交通灯控制等类似的逻辑电路。 (11) 结构图NO.B):此电路适用于8位译码扫描显示电路方面的实验。 GW48结构图信号名与引脚对照表 (249页) 一位全加器的设计 实验二 8位全加器的设计 1、四舍五入判别电路 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sshwr is port(d0,d1,d2,d3:in std_logic; Dout:out std_logic); end entity sshwr; archit

文档评论(0)

rewfdgd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档