PT静态时序分析概念概述.ppt

  1. 1、本文档共123页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
* * * * * * * * * * * * * I/O constraints must come from the designer familiar with the system that the given part is to be used. Please note that slew rates are very important for inputs. * I/O constraints must come from the designer familiar with the system that the given part is to be used. Please note that slew rates are very important for inputs. * I/O constraints must come from the designer familiar with the system that the given part is to be used. Please note that slew rates are very important for inputs. * I/O constraints must come from the designer familiar with the system that the given part is to be used. Please note that slew rates are very important for inputs. * I/O constraints must come from the designer familiar with the system that the given part is to be used. Please note that slew rates are very important for inputs. * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * Primetime Primetime Flow: Read and Link Designs and Libraries Specify Attributes, Environment,Constraints, Timing Exceptions Perform Analysis: Reports and Visual analysis Primetime Setup Files : When primetime is invoked,it accesses .synopsys_pt.setup file in the following order Synopsys root directory the file provided by Synopsys contains general setup information. User home directory - User can create this file for specific Primetime environment. Directory from which user starts Primetime ( current working directory ).User can create this file and customize it for a particular design. Setup the design environment : Set the search path and link path. Read the Libraries and Design. Link the top design. Setup the operating conditions ,wireload models,port load,drive and transition time. Primetime Specify the timing assertions (constraints) - Define clock period,waveform,latency and uncertainty. Specify input and output port delays. Specify timing exception

文档评论(0)

挑战不可能 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档