XILINX_ISE_设计教程资料.ppt

  1. 1、本文档共83页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
XILINX_ISE_设计教程资料.ppt

基于VHDL语言的ISE设计流程 --下载设计到FPGA芯片 下载属性设置,此处选择默认设置,然后点击“OK”按纽 基于VHDL语言的ISE设计流程 --下载设计到FPGA芯片 xc3s500e,已经分配了下载文件top.bit 鼠标右健点击 芯片图标,出现 下面的菜单 点击“Program”选项,开始对FPGA进行编程 基于VHDL语言的ISE设计流程 --下载设计到FPGA芯片 点击“OK”按钮 基于VHDL语言的ISE设计流程 --下载设计到FPGA芯片 出现编程进度条 编程完成后,出现 下面界面 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 点击Create PROM File 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 选择Xilinx Flash/PROM选项 点击该按钮,进入下一步 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 下拉框中选择xcf04s 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 选择Add Storage Device XCF04S被添加 点击该按钮,进入下一步 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 点击“浏览”按钮, 定位要转换的比特流 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 定位到设计工程所在的目录 输入名字“counter_burn” 点击“OK”按钮 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 点击“OK”按钮 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 选择top.bit文件 点击“打开”按钮 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 点击“No”按钮,不添 加其它需要转换的比 特流文件 点击“OK”按钮 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 在主菜单下,选择 Operations-Generate File… 关闭该界面 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 下面将生成的PROM文件烧到PROM芯片中。 选择Boundary Scan 准备分配PROM文件给XCF04S 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 鼠标右键点击芯片图标 选择Assign New Configuration File… 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 选择counter_burn.mcs文件 点击“打开”按钮 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 鼠标右健点击 芯片图标,出现 下面的菜单 点击“Program”选项,开始对FPGA进行编程 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 出现编程进度条 编程完成后,出现 下面界面 基于VHDL语言的ISE设计流程 --生成PROM文件并下载到PROM 关闭电源重新上电,程序从PROM自动引导到FPGA芯片中。 关闭配置界面,不保存任何信息。(一定不要保存 任何信息) 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 点击“Next”按钮 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 点击“Finish”按钮 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 刚才的设计文件 生成的测试平台test.vhd模板文件 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 删除此段代码 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 添加此段代码 用于生成rst测 试信号 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 添加此段代码 用于生成clk测 试信号 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 展开ISim Simulator 双击Simulate Behavioral Model 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 点击“按钮”,直到出现窗口波形 仿真波形窗口 基于VHDL语言的ISE设计流程 --对该设计进行行为仿真 可以在控制台窗口,输入命令控制仿真的运行 输入run 1ms, 控制仿真运行时间到1ms 关闭整个仿真窗口,继续下面的设计, 为了将来在硬件上看到灯的变化所反映 的计数器的工作状态,需要在top.vhd设计文件,添加分频时钟部分代码, 基于VHDL语言的ISE设计流程 --对该设计继续添加代码 选中Implementation 选中top.vhd, 并点击打开该文件

文档评论(0)

钱缘 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档