参数可设置宏功能模块lpm的应用课件.ppt

参数可设置宏功能模块lpm的应用课件.ppt

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
三、利用lpm_multi设计一个8位有符号乘法器 序号 端口名称 功能描述 1 dataa 被乘数 2 datab 乘数 3 sum 部分和 4 Clock 输出时钟 5 Clken 时钟使能 6 aclr 异步清零 7 result result=data*datab+sum 8 Lpm-widtha dataa端口数据线宽度 9 Lpm-widthb datab端口数据线宽度 10 Lpm-widths sum端口数据线宽度 11 LPM-REPRESENTATION 选择“有符号数乘法”或者“无符号数乘法” 12 LPM-PIPELINE 流水线实现乘法器时,一次运算所需时钟周期数 主要参数表 实验2 参数ke 设置宏功能模块LPM的应用 一、参数可设置模块库 参数可设置模块库(Library of Parameterized Modules,LPM)是Altera公司提供的以图形或者硬件描述语言模块形式方便调用的宏功能块 设计者可以根据设计电路的需要,选择LPM库中的适当模块,通过对其设置参数,完成自己的设计需要。 不必进行重复模块的设计,而将更多的精力放在其他功能的实现上,极大地提高了电子设计的效率和可靠性。 一、参数可设置模块库(续1) 1、ALTERA提供的宏功能模块 算术组件 如:累加器、加法器、乘法器、LPM算 术函数 门电路 如:多路复用器和LPM门函数 I/O组件 如:时钟数据恢复(CDR)、锁相环(PLL)、千兆位收发器块(GXB)、LVDS接收器和发送器等 存储组件和存储器编译器 如:LPM_ROM、RAM 一、参数可设置模块库(续2) 算数组件 序号 模块名称 功能描述 1 altfp_add_sub 浮点加法器、减法器模块 2 altfp_div 浮点参数化除法器宏模块 3 altfp_mult 浮点参数化乘法器宏模块 4 altmemmult 参数化存储乘法器宏模块 5 altmult_accum 参数化乘累加器宏模块 6 altmult_add 参数化乘加器宏模块 7 altfp_sqrt 参数化整数平方根运算宏模块 8 divide 参数化除法器宏模块 9 lpm_abs 参数化绝对值运算宏模块(Altera推荐使用) 10 lpm_add_sub 参数化加法器-减法器宏模块(Altera推荐使用) 11 lpm_compare 参数化比较器宏模块(Altera推荐使用) 12 lpm_counter 参数化计数器宏模块(Altera推荐使用) 13 lpm_divide 参数化除法器宏模块(Altera推荐使用) 一、参数可设置模块库(续3) 门电路 序号 模块名称 功能描述 1 lpm_and/or/xor 参数化与门模块/或门/异或门模块 2 lpm_bustri 参数化三态缓冲器模块 3 lpm_clshift 参数化组合逻辑转化模块 4 lpm_constant 参数化常数发生器模块 5 lpm_decode 参数化解码器模块 6 lpm_inv 参数化反向器模块 7 lpm_mux 参数化多路转化器模块 一、参数可设置模块库(续4) I/O组件 序号 模块名称 功能描述 1 alt4gxb 千兆位收发器模块 2 altdq 数据滤波模块 3 altdqs 参数化的双向数据滤波器模块 4 altlvds_rx 低电压差分信号接收器 5 altlvds_tx 低电压差分信号发送器 6 altpll 参数化的锁相环模块 一、参数可设置模块库(续5) 存储组件 序号 模块名称 功能描述 1 lpm_dff 参数化D触发器和移位寄存器模块 2 lpm_ff 参数化触发器宏模块 3 lpm_fifo 参数化单时钟FIFO宏模块 4 lpm_fifo_dc 参数化双时钟FIFO宏模块 5 lpm_latch 参数化锁存器宏模块 6 lpm_ram_dp 参数化双端口RAM模块 7 lpm_rom 参数化ROM宏模块 一、参数可设置模块库(续6) 2、调用宏功能模块的方法 也可通过菜单Tools→MegaWizard Plug-In Manager 新建原理图编辑文件,双击打开Symbol对话框调用 二、利用lpm-rom设计一个乘法器 1、ROM工作原理 由地址线(adderss)数量确定存储单元个数,如:8根地址线address(7..0),共有256个存储单元 每个存储单元能够保存一定位数的二进制数,成为数据位宽 2、实验原理 写存储单元时,地址和数据的表达形式 地址:数据 0010,1000 : 0001,1000 8位地址低4位 8位地址高4位 存储的数据 乘数a=2 乘数b=8 乘积 C=16 二、利用lpm-rom设计一个乘法器

文档评论(0)

挺进公司 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档