数字逻辑-多功能数字钟-课程设计报告解析.docVIP

数字逻辑-多功能数字钟-课程设计报告解析.doc

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字逻辑电路 —课程设计报告 学院:计算机科学与通信工程学院 专业:班级: 学号: a计时模块VHDL源程序: 计时模块主要完成正常计时功能,它通过小时分钟和秒的关系来完成计时。即由两个模60的计数器和一个模24的计数器,经过联合来完成,其联系模块可在顶层图中看出。计时模块的VHDL源程序为: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60_31 is -----分和秒的计数 port (clk:in std_logic; clear:in std_logic; c:out std_logic; k1,k0:out std_logic_vector(3 downto 0)); end cnt60_31; architecture cnt of cnt60_31 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk,clear) begin if(clear=1)then q1=0000;q0=0000;c=0; else if(clkevent and clk=1)then if(q1=0101 and q0=1001)then-----到59 q1=0000;q0=0000;c=1; elsif(q10101 and q0=1001)then q0=0000;q1=q1+1;c=0; elsif(q01001) then q0=q0+1; end if; end if; end if; k1=q1; k0=q0; end process; end cnt; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24_31 is port (clk:in std_logic; h1,h0:out std_logic_vector(3 downto 0)); end hour24_31; architecture hour of hour24_31 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clkevent and clk=1)then if(q1=0010 and q0=0011)then q1=0000;q0=0000; elsif(q0=1001)then q0=0000;q1=q1+1; else q0=q0+1; end if; end if; h1=q1; h0=q0; end process; end hour; 2校时模块: a 校时模块最初的图形: 3整点报时模块: a整点报时模块VHDL源程序: 此模块主要完成 整点的报时功能。它通过对预设的时间和计时的时间的比较来完成整点报时,当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500Hz。到达59分60秒时为最后一声整点报时。整点报时的频率为1Kz。该模块的VHDL代码为: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alert_31 is port(m1,m0,s1,s0:in std_logic_vector(3 downto 0); siga,sigb:out std_logic); end alert_31; architecture alert

文档评论(0)

光光文挡 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档