计算机硬件设计FPGA与HDL剖析.ppt

  1. 1、本文档共28页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第1章 计算机硬件设计、FPGA与HDL 主讲教师:冯建文 fengjianwen@hdu.edu.cn 第1章 计算机硬件设计、FPGA与HDL 1.2 FPGA 1、可编程逻辑器件PLD PLD结构: 1、可编程逻辑器件PLD PLD分类:按集成度分为 简单PLD:低密度PLD(低于700门/片) 可编程只读存储器PROM 现场可编程逻辑阵列FPLA 可编程阵列逻辑PAL 通用可编程阵列逻辑GAL 复杂PLD:高密度PLD 现场可编程门阵列FPGA(Field Programable Gate Array) 复杂可编程逻辑器件CPLD(Complex Programmable Logic Device) 1、可编程逻辑器件PLD 简单PLD特点对比: 1、可编程逻辑器件PLD 复杂PLD特点对比: 2、 FPGA的基本结构 FPGA结构: 2、 FPGA的基本结构 FPGA芯片的主要生产厂商: Xilinx公司:全球最大的生产厂商 Altera公司 Actel公司 对Xilinx FPGA芯片进行设计开发,要使用Xilinx公司的开发软件平台——集成软件环境ISE(Integrated Software Environment),在其中用硬件描述语言VHDL或者Verilog语言编程。 1.3 硬件描述语言HDL HDL( Hardware Description Language )语言:以文本形式来描述数字系统硬件结构和行为,是一种用形式化方法来描述数字电路和系统的语言,可以从上层到下层来逐层描述自己的设计思想。 ①用一系列分层次的模块来表示复杂的数字系统 ②逐层进行验证仿真 ③把具体的模块组合由综合工具转化成门级网表 ④利用布局布线工具把网表转化为具体电路结构的实现 1.3 硬件描述语言HDL HDL语言特点: (1) HDL语言既包含一些高级程序设计语言的结构形式,同时也兼顾描述硬件线路连接的具体结构。 (2)通过使用结构级行为描述,可以在不同的抽象层次描述设计。 (3)HDL语言是并行处理的。 (4)HDL语言具有时序的概念:存在时延的概念。 HDL语言不仅可以描述硬件电路的功能,还可以描述电路的时序。 1.3 硬件描述语言HDL 随着EDA技术的发展,使用硬件语言设计CPLD/FPGA已成为一种趋势。 目前最主要的硬件描述语言 VHDL(Very-High-Speed Integrated Circuit HDL) Verilog HDL 对比: VHDL发展的较早,语法严格、繁琐; Verilog HDL在C语言的基础上发展起来,语法较自由。 其他硬件描述语言: ABEL:用于编程PAL、GAL AHDL:Altera公司开发 1.3 硬件描述语言HDL 1、VHDL: VHDL诞生于1982年,1987年底,被IEEE和美国国防部确认为标准硬件描述语言。 VHDL描述数字系统的结构、行为、功能和接口。 将设计实体分成内部和外部两部分,外部又称可视部分或端口;内部又称不可视部分,它涉及实体的内部功能和算法完成部分。 1.3 硬件描述语言HDL VHDL特点: (1)VHDL具有更强的行为描述能力。 (2)VHDL丰富的仿真语句和库函数。 (3)VHDL具有支持大规模设计的分解和已有设计的再利用功能。 (4)可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。 (5)VHDL对设计的描述具有相对独立性。 1.3 硬件描述语言HDL 2、Verilog HDL: 1983年末,由GDA(Gateway Design Automation)公司的Phil Moorby首创 1995年,Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995。 新版本:2001年IEEE公布的Verilog 2001。 主要优点是:简洁、高效、功能强、易学易用,语法与C语言有许多相似之处。 1.3 硬件描述语言HDL Verilog HDL特点: (1)既能进行面向综合的电路设计,也可用于电路的模拟仿真。 (2)设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级,包括进程和队列级。 (3)设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。 (4)有三种电路描述与建模方式: 行为描述方式:使用过程化结构建模; 数据流描述方式:使用连续赋值语句方式建模; 结构描述方式:使用门和模块实例语句描述建模。 1.3 硬件描述语言HDL Verilog HDL特点: (5)具有混合方式建模能力,即在一个设计中每个模块均可以在不同设计层次上建模。 (6)可使用内置基本逻辑门,在门级对设计完整建模。 (7)可使用内置开关级

文档评论(0)

三四五 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档