EDA异或门的实现.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA异或门的实现

实验异或门一、实验内容 1.熟悉Quartus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计) 2.用逻辑图和VHDL语言设计一个异或门。 二、电路要求 1.进实验室前,请写一份预习报告;进实验室时经指导老师检查后,才可上机操作。 2.预习报告内容有: 异或门的逻辑图; 用VHDL语言设计异或门; 3.实验结束前,要填写实验卡,将异或门的仿真波形画在实验卡上。 三、电路功能介绍 异或门(XOR) 用途:异或门是一种用途广泛的门电路。典型应用是作为加法器的单元电路。 逻辑图 真值表 A B OUT 0 0 0 0 1 1 1 0 1 1 1 0 三、实验报告要求 实验程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity GWY is port A,B:in bit; C:out bit ; end; architecture a of GWY is begin c A xor B; end; 运行结果:

文档评论(0)

aicencen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档