- 1、本文档共19页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
北京邮电大学
数字电路与逻辑设计实验
学院:
班级:
姓名:
学号:
班内序号:
实验一 Quartus II原理图输入法设计
实验目的:
(1)熟悉Quartus II原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 图形模块单元的生成与调
(3)熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
三、实验任务要求
(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。
(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
(3)用VHDL语言实现全加器。
四、实验原理图和实验波形图
全加器实验原理图。
2、全加器实验波形图。
五、仿真波形分析
由仿真波形可以看出,当a,b,ci有两个或者两个以上为1时,产生进位,即co输出为1,而输出s则是当a,b,ci输入偶数个1时为0,奇数个1时为1,满足实验原理,仿真波形正确。
实验三 VHDL组合逻辑电路设计
一、实验目的:
(1)熟悉Quartus II原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 图形模块单元的生成与调
(3)熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
三、实验任务要求
(1)用VHDL语言设计将8421计数器,分频器和数码管译码器连接使用,实现在指定数码管滚动显示0-9,其余数码管不亮,并带有清零功能,并下载到实验板显示计数结果。
四、实验VHDL代码和仿真波形图
(1)VHDL代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(
clk,clr:in std_logic;
b:out std_logic_vector(6 downto 0);
cat:out std_logic_vector(5 downto 0));
end xianshi;
architecture a of xianshi is
signal ctmp:std_logic_vector(3 downto 0);
signal tmp:integer range 0 to 1249999;
signal clktmp:std_logic;
signal e:std_logic_vector(6 downto 0);
begin
p1:process(clk,clr)
begin
if clr=0 then
tmp=0;
elsif clkevent and clk=1 then
if tmp=1249999 then
tmp=0;clktmp=not clktmp;
else
tmp=tmp+1;
end if;
end if;
end process p1;
p2:process(clktmp)
begin
if clr=0 then ctmp=0000;
elsif(clktmpevent and clktmp=1)then
if ctmp=1001then
ctmp=0000;
else
ctmp=ctmp+1;
end if;
end if;
end process p2;
p3:process(ctmp,clr)
begin
if(clr=0)
then cat=111111;
else
case ctmp is
when0000=e=1111110;--0
when0001=e=0110000;--1
when0010=e=1101101;--2
when0011=e=1111001;--3
when0100=e=0110011;--4
when0101=e=1011011;--5
when0110=e=1011111;--6
when0111=e=1110000;--7
when1000=e=1111111;--8
when1001=e=1111011;--9
when others=e=0000000;
end case;
cat=1
您可能关注的文档
- 北大地震复习笔记_第7章讲课.doc
- 北大附中(海淀区)2004年高三年级第二学期适应性练习讲课.doc
- 2015年秋高中物理第1章第4节第2课时等势面新人教版选修3-1讲课.ppt
- 北大文计上大纲讲课.doc
- 2015年秋高中物理第1章第5节电势差新人教版选修3-1讲课.ppt
- 北方工业大学数学与应用数学专业培养计划讲课.doc
- 2015年秋高中物理第2章第3节第1课时欧姆定律导体的伏安特性曲线新人教版选修3-1讲课.ppt
- 工程地质学教程.ppt
- 2015年秋高中物理第2章第7节闭合电路的欧姆定律新人教版选修3-1讲课.ppt
- 北方气旋--蒙古气旋实习讲课.doc
- springbooot+vue基于java的房屋维修系统毕业论文.doc
- 中国消防救援学院《单片机系统实验》2023-2024学年第一学期期末试卷.doc
- 2025年溧阳纺织化学品项目申请.pptx
- 景区门票包销合同模板(3篇).docx
- 【股票技术指标学习指南】第七章第三节货币需要量的测算.doc
- 2025春 _ 人教版七年级英语下册【unit4】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit5】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit6】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit7】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit8】看音标写单词.doc
最近下载
- 佳能 G1000 1500 1800 2000 2800 3000 3800 维修手册.pdf VIP
- 2025贵州七星关区洪山街道中心校(毕节四小)教师“跨校竞聘”工作笔试参考题库附答案解析.docx VIP
- 2025贵州毕节七星关区碧阳街道中心校(毕节二十二小)教师“跨校竞聘”34人笔试参考题库附答案解析.docx VIP
- 2025贵州毕节七星关区实验学校教师“跨校竞聘”60人笔试参考题库附答案解析.docx VIP
- 2025贵州毕节七星关区德溪街道中心校(毕节市七星关区第五实验学校)教师“跨校竞聘”24人笔试备考题库及答案解析.docx VIP
- 肾性贫血治疗现状及特征(罗沙司他).pptx VIP
- 哮喘慢阻肺重叠综合征.ppt VIP
- 王文娟首演版越剧《孟丽君》剧本.doc VIP
- 小学教研讲座专题:如何教语文.ppt VIP
- 某物流园区项目施工组织设计方案措施.pdf VIP
文档评论(0)