EDA实验05—简分频时序逻辑电路设计.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA实验05—简分频时序逻辑电路设计

电子信息工程学系实验报告 课程名称:EDA技术与实验 实验项目名称:简单分频时序逻辑电路设计 实验时间:2011-10-10 班级:通信091 姓名:Jxairy 学号:910705131 实 验 目 的 : 掌握条件语句在简单时序模块设计中的使用。 实 验 环 境 : Windows 7、MAX+PlusⅡ10等。 实 验 内 容 : 模为60的8421BCD码加法计数器的文本设计及仿真。 BCD码—七段数码管显示译码器的文本设计及仿真。 用For语句设计和仿真七人投票表决器。 1/20分频器的文本设计及仿真。 实 验 过 程 : 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为Verilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。 图5.2 另存为.V编辑文件 图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码 (4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在 Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个 Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入 (8)输入波形设置,保存波形文件,文本仿真:单击菜单File下的Save选项,在弹出的窗口中将波形文件存在以上的同一目录中,文件取名为count60.scf。单击MAX—plusⅡ菜单内选择Simulator选项,单击Start,接着打开Open SCF(界面如下图5.7所示),即完成计数器的波形仿真。 图5.7 Simulator仿真 二、BCD码—七段数码管显示译码器、七人投票表决器、1/20分频器的文本设计及仿真: (1)新建文本:“File”→“New”,出现如图5.1所示的对话框,“Text Editor file”→“OK”。 (2)另存为Verilog编辑文件:分别命名为“decode4_7.v”、“voter7.v”、“fdivision..v”,与图5.2类似。 (3)在编辑窗口中分别输入各自相应的程序代码,分别如图5.8、图5.9、图5.10所示。 图5.8 BCD码—七段数码管显示译码器的设计代码 图5.9 七人投票表决器的设计代码 图5.10 1/20分频器的设计代码 (4)设置当前文本:“File”→“Project”→“Name”,出现与图5.4类似的Project Name对话框:选择→“OK”。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,单击Start。与图5.5类似。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选

文档评论(0)

ikangyme + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档