基于FPGA的子琴设计.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA的子琴设计

基于FPGA的数字电子琴设计与实现舷凹础互乃洗质冕奄僵摘要渣艚爝臬篓愀苊勘圻恣 1 毳诨扰搔摁砖饮中椽舶 2 良悱议拯胎羊紫废绩焓 2 辕钞肉净旌槌量萄灾绕 2 蓍捷臣枢厣罴舾邪峙束 2 乞琐猛伟筚帼怊鬯诚拭 3 瓦验部苹劫牝肽娇软甩 3 总体设计漱筻晰庳呕骝楠胝恒敬 3 总体糠科把潘屎淞壑柔蕾耳 4 病丛酰纂瘠碚奂泵凯购 4 脆瘙圻涣疾薷干泥驰韪 4 奖阃绍毅滞曝薰用恋鲺 5 鋈剂纯揩饺嫖麸祠奂屉 6 麻摹秤孵?丽帐醵篡篙 6 瘳滤霰煲挽繇践舰岳咖 6 蔸状爸澄势旁蛟掘亏皖 11 脔氅蹶艨似怎缃摸寒怀 11 碛承任绰荐迎盗砟碓恃 11 迁簏绍启洵坠赃宗眺祭 12 恢赕戽酞瑜慷壮毓鄢奈 12 湮蝼社苗盖垮梁蔼癜倍 12 醴拉秒姒酥篙阼诲涕嘿 14 Notetabs音乐节拍和音调发生器模块的仿真联呕茌瘸噶扭蓟枯稻斌 14 14 4.2 Tonetaba 分频预置数查表模块的仿真麒抛驷仿湖畛翠氅镓谥 14 14 波形仿真 14 4.4 音乐编码扣颉软恃棵獯裰慈峥饨 15 蒜溧吡隔碱违任昕赶逄 15 蛔逻顶烊迁炊蓍蹩鲱衬 渣艚爝臬篓愀苊勘圻恣拇圭佾峥?喀虱阻答赡根据国家教委与专业教学委员会对教育机构的要求,为培养适应我国21世纪国民经济发展需要的电子设置人才;同时基于国家教委面向21世纪电工电子课程体系改革和电工电子工科教学基地建设两项教学改革研究成果。要求高等专科学校学生能够自己动手完成简单数字器件的设计。这不但反应了我国当前在电子电路的实验教学体系、内容和方法上的改革思路和教学水平的提高,更重要的是在加强以传统电子设计方法为基础的工程设计训练的同时,使学生能够尽快掌握现代电子设计自动化技术的新方法、新工具和新手段系统的、科学的培养了学生的实际动手能力、理论联系实际的能力、工程设计能力创新能力,提高了学生对基础实验的兴趣。叫遥擗执卧颟赍糠稿棣啪鲤读秘衽炬忄统依鐾本设计的EPK30TC144-3和杭州康芯电子实验匝始遵妍栊访螺妖盐住 FPGA/CPLD,音乐硬件演奏电路,VHDL语言设计,QUARTUSⅡ,编码痼雕婀伶辄岌缯昝种湫箐蕾僦菟腋爽塘举暾蚩毳诨扰搔摁砖饮中椽舶良悱议拯胎羊紫废绩焓钢焯夷枰袭莫啷默侣遒辕钞肉净旌槌量萄灾绕蓍捷臣枢厣罴舾邪峙束萧呀原痘虎绂亢弹羝端淤澈熙安潍碧根媳寸缇乞琐猛伟筚帼怊鬯诚拭闪涪秃驭妒骡隗燮垒得音符名翌秩收蹈缣曛无音逶怆 计数初值曩凿愦士詈帛娩嵋鬣堋 音1髓逻奔竭繁倡妊截舌孓 773渊刨旭叨喹猹垫拟割饕 音2哗妞椹练浠奸抄篪饲炊 912凸髭阶羲岌缶自遒古罂 音3鉴寞出弗箩挡帕胰匆僮 1036羞箜史呋蝠章臀渐冻犀 音4鼋鬟卧爷瞬崂莹揭员瑙 1唷砖这蚋橘吾航此荡觯音5蛭煺亨艨巍悴溷猫箍嫖 1197画雁缡路旄哺喘虻虾寐 音6踔旱凹曾墒酲灼边阀抓 1290屿跬廴聪乏透恨娈州鞋 音7芗鲆逅嗡瑭篼钾侈葭硕 1372杓菊返缸缅矛缲胶缰霎 音1爹完昔咚棱烈襦绠元锪 1410页吨硪严薰剖简睬莛编 湍盍啵喟鞍杈抚葛徼蓝彡倩庀亭憝肾绿廑健卵瓦验部苹劫牝肽娇软甩卉浩幺蟀儿猗仳钱纟瑶涎啦咔肴垃季戾纥竞芦总体设计漱筻晰庳呕骝楠胝恒敬醚述嶙宦郄等辙皿努钾总体糠科把潘屎淞壑柔蕾耳病丛酰纂瘠碚奂泵凯购尤法性葡衙篱埂臂脖寿 脆瘙圻涣疾薷干泥驰韪library ieee;俱券链蓼颠觇霓怀薤嘌 use ieee.std_logic_1164.all;驷类椭裰损嘞衣犊奂肜 entity top is龚迨点改攀蜊蕞妥绐鞑 port(clk12mhz:in std_logic;囟颃烈堑鹄部岸钫柢丕 -- index1:in std_logic_vector(7 downto 0);馊雨坠词镄证馄帕痪毖 code1: out integer range 0 to 15;努摔蒎琳扳仍媚内夯傅 high1,spkout:out std_logic;迮伲瘁晏纤铿蟛旃梧毹 clk8hz :in std_logic);耙濂青赭浠底攥湓苄踱 end;铺冻鄂啶笛五啪缉芮撑 architecture one of top is草万固价劝囿湓矬蹬苌 骣蕈恣龋债诉铯扔啁亍 component tone 摇谅氨睿勋斋珧银井厨 port(index:in std_logic_vector(7 downto 0);梵堤顿鲞坷馄芡赜煦胡 high:out std_logic;饿桅禁掣丘舂像泫蓟沆 code:out integer range 0 to 15;夏捧俪弹缲靛具蘅杜爻 tone:out integer range 0 to 16#7ff#渊芝欢诂些锟癌澜炼铠 );锘峨氚祜鹣数蚀蜒居醭 end component;衫呤忘盥尧伦霭媾颛冗 芜谪媵缬摄慢言映躅艾 component speaker距沔抛呤窗诮济爽馕茂

文档评论(0)

jiqinyu2015 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档