- 1、本文档共4页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
七人多路表决器实验总结
Eda与数字系统课程设计
课题名称:用VHDL文本输入法设计一个7人多数表决电路
班级:09电科四班 学号:座机电话号码97 姓名:楚惠
一.课题
题目:多数表决电路的设计之二
要求:用VHDL文本输入法设计一个7人多数表决电路 二.实验内容
所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity biao is
port xin: in std_logic_vector 6 downto 0 ; xout,xout0,xout1,xout2 : out std_logic_vector 6 downto 0 ; end entity ;
architecture bev of biao is
begin process xin variable j: integer : 0; begin j: 0; for i in 0 to 6 loop if xin i 1 then j: j+1; end if; end loop;
if j 3 then xout 0110000; else xout 1111110; end if;
case j is when 0 xout1 1111110; when 1 xout1 0110000; when 2 xout1 1101101; when 3 xout1 1111001; when 4 xout1 0110011; when 5 xout1 1011011; when 6 xout1 1011111; when 7 xout1 1110000; when others xout1 XXXXXXX; end case;
case j is when 7 xout0 1111110; when 6 xout0 0110000; when 5 xout0 1101101; when 4 xout0 1111001; when 3 xout0 1011011; when 2 xout0 0010010; when 1 xout0 1011111; when 0 xout0 1110000; when others xout0 XXXXXXX; end case; end process;
end architecture bev;
2、输出显示部分
数码管扫描用到的六进制计数器
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt6a is
port cp,reset : in std_logic; sel :out std_logic_vector 2 downto 0 ;
end cnt6a;
architecture behave of cnt6a is signal sec :std_logic_vector 2 downto 0 ; begin process reset,cp begin if reset 0 then sec 000; elsif cpevent and cp 1 then if sec 101 then sec 000; else sec sec+1; end if; end if; end process; sel sec; end behave;
数码管的七段输出
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mux6 is
port cnthh,cnthl,cntmh,cntml,cntsh,cntsl :in std_logic_vector 6 downto 0 ; sel :in std_logic_vector 2 downto 0 ; cn
您可能关注的文档
最近下载
- 1425调剂学(本)-国家开放大学2021年1月至2022年1月期末考试真题及答案(202101-202201三套).pdf VIP
- 师资队伍建设中长期发展规划.docx VIP
- 通信工程安全技术交底.ppt VIP
- 幼儿园 大班社会《特殊的车辆》.pptx VIP
- JTJ-T212-2010地下工程渗漏治理技术规程.doc VIP
- 2024年宁夏中考生物·地理合卷试题卷(含答案解析).docx
- 2024年江苏省公务员省考《行测》(A类)真题(含答案).pdf VIP
- 初中英语语法-八大时态之一般过去时课件.pptx VIP
- 教学课件:《商法(第四版)》范健.ppt
- 2025学校师资队伍建设三年发展规划.docx VIP
文档评论(0)