南理工dds电类综合实验报告_(dds)直接数字频率合成器设计_毕设论文.docVIP

南理工dds电类综合实验报告_(dds)直接数字频率合成器设计_毕设论文.doc

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
南理工dds电类综合实验报告_(dds)直接数字频率合成器设计_毕设论文.doc

南 京 理 工 大 学 研究生电类综合实验实验报告 作 者: 袁一超 学 号: 514101001333 学院(系): 机械工程学院 专 业: 航天工程 题 目: (DDS)直接数字频率合成器设计 指导者: 花汉兵 姜萍 2015年6月 电类综合实验(实验报告)中文摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本报告探究了数字频率合成器的构成,使用了Quartus II软件和SmartSOPC实验箱,借助可编程逻辑器件,实现了实验的预期目的,可以进行交换显示,测频,四波形(方波,正弦波,锯齿波,三角波)切换。详细介绍了电路实现的基本原理以及电路的仿真、编译过程。 关键词 EDA设计 DDS Quartus II软件 SmartSOPC实验系统 电类综合实验(实验报告)外文摘要 Title Design of Direct Digital Frequency Synthesizer Abstract Direct Digital Frequency Synthesizer is a technology based on fully digital technique, afrequency combination technique syntheses a required waveform from concept of phase. This report describes the Digital Frequency Synthesizer components, the use of the software and SmartSOPC QuartusII experimental box, with programmable logic device to achieve the desired goal of the experiment, can be exchanged, frequency measurement, the four waveforms (square wave, sine wave , sawtooth, triangle wave) switches. Details of the circuit as well as the basic principles of circuit simulation, the compiler process. Keywords EDA design DDS QuartusII software SmartSOPC experiment system 目 次 1 设计内容 1 2 方案论证 1 2.1 DDS 概念 1 2.2 DDS 的组成及工作原理 1 2.3 DDS 的工作流流程图 1 2.4 DDS 的总体框图 2 3 设计要求 3 3.1 设计基本要求 3 3.2 设计提高部分要求 3 4 各基本电路子模块设计原理 3 4.1 脉冲发生电路 3 4.1.1 二分频 4 4.1.2 三分频 4 4.1.3 八分频 4 4.1.4 十分频 5 4.1.5 千分频 5 4.1.6 总脉冲电路图 5 4.2 频率和相位调节电路 5 4.2.1 设计原理 5 4.2.2 频率和相位调节电路总图 6 4.3 累加器 8 4.3.1 累加器的原理 8 4.3.2 电路 8 4.3.3 波形仿真 9 4.4 加法器 9 4.4.1 设计原理 9 4.4.2 电路图 10 4.5 波形存储器(ROM) 10 4.5.1 波形存储器(ROM)的原理 10 4.5.2 存储器(ROM)的设计 11 4.6 DDS电路 15 4.6.1 设计原理 15 4.6.2 电路总图 15 4.7 测频电路 15 4.7.1 设计原理 15 4.7.2 测频电路电路图 16 4.8 动态显示电路 17 4.8.1 设计原理 17 4.8.2 电路图 17 4.9 消颤电路 18 4.9.1 设计原理 18 4.9.2 电路图 18 5 整体封装图 18 6 管脚分配仿真下载 19 6.1 管脚分配 19 6.2 仿真 19 6.3 下载 20 结 论 21 参 考 文 献 22 设计内容 设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS或DDS)。 DDS 概念 直接数字频率合

文档评论(0)

damei + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档