可编程逻辑器件与硬件描述语言实验报告new.docVIP

可编程逻辑器件与硬件描述语言实验报告new.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
可编程逻辑器件与硬件描述语言实验报告new.doc

可编程逻辑器件与硬件描述语言 实 验 报 告 评 语: 成绩 教 师: 年 月 日 分 组:第( )组 班 级: 学 号: 姓 名: 地 点: 时 间: 实验一:3-8译码器设计 实验目的: 1)掌握ISE开发工具的使用,掌握FPGA开发的基本步骤; 2)掌握组合逻辑电路设计的一般方法; 3)掌握程序下载方法,了解UCF文件的格式; 4)初步了解开发板资源,掌握开发板使用方法。重点了解滑动开关和LED显示灯的使用方法。 实验内容: 用VHDL实现3-8译码器模块 将程序下载到FPGA并进行检验 实验步骤: 1)启动ISE,新建工程文件,编写3-8译码器的VHDL模块; 2)新建UCF文件,输入位置约束; 3)完成综合、实现,生成下载文件; 4)连接开发板USB下载线,开启开发板电源; 5)下载到FPGA; 6)拨动开关,验证结果是否正确。 实验代码: -- Company: -- Engineer: -- -- Create Date: 19:03:03 10/01/2011 -- Design Name: -- Module Name: decoder38 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity decoder38 is Port ( A : in STD_LOGIC; B : in STD_LOGIC; C : in STD_LOGIC; EN : in STD_LOGIC; Y : out STD_LOGIC_VECTOR (7 downto 0)); end decoder38; architecture Behavioral of decoder38 is signal din: std_logic_vector(2 downto 0); begin din = ABC; PROCESS(din,EN) begin if (EN=1) THEN Y = else case din is when 000 = y when 001 = y when 010 = y when 011 = y when 100 = y when 101 = y when 110 = y when 111 = y when others = y end case; end if; end process; end Be

文档评论(0)

xinshengwencai + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:5311233133000002

1亿VIP精品文档

相关文档