4-6 用VerilogHDL描述组合逻辑电路康华光 数字电子技术 第六版.ppt

 4-6 用VerilogHDL描述组合逻辑电路康华光 数字电子技术 第六版.ppt

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
* 4.6 用VerilogHDL描述组合逻辑电路 4.6.1 组合逻辑电路的行为级建模 4.6.2 分模块、分层次的电路设计 4.6.1 组合逻辑电路的行为级建模 组合逻辑电路的行为级描述一般使用assign结构和过程赋值语句、条件语句(if-else)、多路分支语句(case-endcase)和for循环语句等。 (3) if (condition_expr1) true_statement1; else if (condition_expr2) true_statement2; else if (condition_expr3) true_statement3; …… else default_statement; 1、条件语句( if语句) 条件语句就是根据判断条件是否成立,确定下一步的运算。 (1) if (condition_expr) true_statement; (2) if (condition_expr)true_statement; else fale_ statement; Verilog语言中有3种形式的if语句: if后面的条件表达式一般为逻辑表达式或关系表达式。执行if语句时,首先计算表达式的值,若结果为0、x或z,按“假”处理;若结果为1,按“真”处理,并执行相应的语句。 例:使用if-else语句对4选1数据选择器的行为进行描述 注意,过程赋值语句只能给寄存器型变量赋值,因此,输出变量Y的数据类型定义为reg。 module mux4to1_bh(D, S, Y); input [3:0] D; //输入端口 input [1:0] S; //输入端口 output reg Y; //输出端口及变量数据类型 always @(D, S) //电路功能描述 if (S == 2’b00) Y = D[0]; else if (S== 2’b01) Y = D[1]; else if (S== 2’b10) Y = D[2]; else Y = D[3]; endmodule 是一种多分支条件选择语句,一般形式如下 case (case_expr) item_expr1: statement1; item_expr2: statement2; …… default: default_statement; //default语句可以省略 endcase 注意:当分支项中的语句是多条语句,必须在最前面写上关键词begin,在最后写上关键词end,成为顺序语句块。 另外,用关键词casex和casez表示含有无关项x和高阻z的情况。 2、多路分支语句(case语句) 例:对具有使能端En 的4选1数据选择器的行为进行Verilog描述。当En=0时,数据选择器工作,En=1时,禁止工作,输出为0。 module mux4to1_bh (D, S, Y); input [3:0] D,[1:0] S; output reg Y; always @(D, S, En) //2001, 2005 syntax begin if (En==1) Y = 0; //En=1时,输出为0 else //En=0时,选择器工作 case (S) 2’d0: Y = D[0]; 2’d1: Y = D[1]; 2’d2: Y = D[2]; 2’d3: Y = D[3]; endcase end endmodule module priority(W, Y) input [3:0] W; output reg [1:0] Y; always @(W) casex (W) 4’b1xxx: Y = 3; 4’b01xx: Y = 2; 4’b001x: Y = 1; 4’b0001: Y =0;; default: begin z = 0; Y=2’bx;end //W无效时,z=0,Y为高阻 endcase endendmodule 例:对基本的4线-2线优先编码器的行为进行Verilog描述。 一般形式如下 fo

文档评论(0)

canjiadyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档