SystemC资料2.ppt

  1. 1、本文档共149页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
* 隐式有限状态机 所谓隐式有限状态机是指编程中并不显式的定义状态机的状态,而是通过程序中的wait()语句和wait()语句中间的赋值语句来完成对状态机的描述。 钟控线程进程最适合来描述隐式有限状态机。 * 隐式有限状态机的例子 总线转换器,如下图。摘自SystemC user guide 当newaddr有效,addr上有新地址。addr分4个周期通过data8送给Memory Controller。 当ready等于1,data8上是Memory Controller送回的数据。但datardy等于1,data有效。 * 隐式有限状态机的例子con’t SC_MODULE(bus) {//bus controller sc_in_clk clock; sc_inbool newaddr; sc_insc_uint32 addr; sc_inbool ready; sc_outsc_uint32 data; sc_outbool start; sc_outbool datardy; sc_inoutsc_uint8 data8; sc_uint32 tdata; sc_uint32 taddr; void xfer(); SC_CTOR(bus) { SC_CTHREAD(xfer, clock.pos()); datardy.initialize(true); // ready to accept new address } }; * 隐式有限状态机的例子con’t #include bus.h void bus::xfer() { while (true) { wait_until( newaddr.delayed() == true); // wait for a new address to appear taddr = addr.read();//// got a new address so process it datardy = false; // cannot accept new address now data8 = taddr.range(7,0); start = true; // new addr for memory controller wait(); // wait 1 clock between data transfers data8 = taddr.range(15,8); start = false; wait(); data8 = taddr.range(23,16); wait(); data8 = taddr.range(31,24); * 隐式有限状态机的例子con’t wait(); // now wait for ready signal from memory controller wait_until(ready.delayed() == true); // now transfer memory data to databus tdata.range(7,0) = data8.read(); wait(); tdata.range(15,8) = data8.read(); wait(); tdata.range(23,16) = data8.read(); wait(); tdata.range(31,24) = data8.read(); data = tdata; datardy = true; // data is ready, new addresses ok } } * wait_until( ) wait_until将进程挂起直到指定的表达式的值为真,它只能用于线程进程和钟控线程进程。 wait_until(data.delayed() == true); 该语句中delayed是必须的! wait_until()的参数必须是bool型。 如 wait_until( clock.delayed() = = true \ reset.delayed() = = false \ ); * wait( ) wait()——等待敏感表中有事件发生 wait( const sc_event)——等待事件发生,如下面的例子 sc_e

文档评论(0)

tiangou + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档