实验2LED译码显示实验讲述.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验二、LED译码显示实验 一.实验目的:1、掌握QuartusII等EDA工具软件的基本使用; 2、学习FPGA自顶向下的设计方法; 3、学习用FPGA控制LED电路实现显示器设计。 二.实验内容: 四位二进制计数器的设计 7段显示译码器 驱动七段发光二极管的二-十进制译码器的层次化设计,如图1.1。 图1.1 7段数码显示译码器的原理示意图 三.7段数码显示译码器基本原理 在数字电路中,常常需要把运算结果用十进制数显示出来,这就要用显示译码器。 7段显示译码器实际上是一种代码变换器,它是将4位二进制码转换成7位代码,燃亮LED显示器a、b、c、d、e、f、g七段中的对应段,显示出十进制数的字型。 表2.1 7段显示译码器真值表(以共阴极数码管为例) 在EDA实验箱中验证这个项目,可用数据开关表示输入,用LED数码管表示输出。 四.实验步骤: 创建工程文件 先打开“我的电脑”,在E盘新建名为“fpga_lab1”文件夹。然后,利用QuartusII软件创建工程向导(New Project Wizard)创建一个新的工程。 子模块电路设计(包括各个模块的功能仿真) LED显示译码器设计 程序编写:在“文件”菜单下选择“New”,在弹出的窗口点击“VHDL File”点击“OK”打开vhdl编辑窗口。 编辑输入LED显示译码器程序,编辑完毕后保存,文件名保存为“decorder4_7” (注:文件名必须与程序中实体名一致) 选中“Add file to current project”选项,添加当前文件到项目。 --文件名为decorder4_7.vhd --功能:把4位二进制数变为七段LED显示码 library ieee; use ieee.std_logic_1164.all; entity decorder4_7 is port (A :IN STD_LOGIC_vector(3 downto 0); Y :OUT STD_LOGIC_vector(6 downto 0)); end decorder4_7; architecture behave of decorder4_7 is begin process(A) begin case A is when 0000 = Y=0000001; when 0001 = Y=1001111; when 0010 = Y=0010010; when 0011 = Y=0000110; when 0100 = Y=1001100; when 0101 = Y=0100100; when 0110 = Y=0100000; when 0111 = Y=0001111; when 1000 = Y=0000000; when 1001 = Y=0000100; when 1010 = Y=0001000; when 1011 = Y=1100000; when 1100 = Y=0110001; when 1101 = Y=1000010; when 1110 = Y=0110000; when 1111 = Y=0111000; when others = Y=XXXXXXX; --注意X要大写 end case; end process; end behave; 文件编译:保存文件后,选择“Project”菜单,点击“Set as Top-Level Entity”项,把当前文件设置为顶层实体。 (注:Quartus环境下所有操作(综合、编译、仿真、下载等)都只对顶层实体进行,所以编译任何程序前,必须先设置该选项,把当前要编译的文件设置为顶层实体后,才能对该文件进行编译等操作) 打开“Processing”菜单,点击“Start Compilation”执行完全编译 状态窗口显示编译过程进度信息 编译结束,系统会弹出编译结束窗口,报告错误与警告数,点击“确定”。 编译报告给出所有编译结果信息,包括硬件信息、资源占用率等。 错误修改: 如果程序中有错误,需要根据“Messages”消息栏给出的错误提示修改程序,保存后须再次编译,直至所有错误均改正后,方可执行下一步操作。警告信息可以忽略。 波形仿真: 建立波形图文件 关闭编译报告窗口,在“文件”菜单下选择“New”,在弹出的窗口点击“Vector Waveform File”点击“OK” 打开波形编辑窗口。 波形图编辑窗口 定义仿真观测的输入输出节点 在波形编辑窗口左侧栏内单击鼠标右键,出现浮动菜

文档评论(0)

整理王 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档