交通灯毕业设计.doc交通灯毕业设计.doc.doc

交通灯毕业设计.doc交通灯毕业设计.doc.doc

  1. 1、本文档共29页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯毕业设计.doc交通灯毕业设计.doc

天津电子信息职业技术学院 毕 业 设 计 课题名称 交通灯控制电路的设计与制作 姓 名 白玉 学 号 16 班 级 通信S09-2 专 业 通信技术 所 在 系 电子技术系 指导教师 赵俊英 完成日期 2011年12月31日 天津电子信息职业技术学院 毕业设计(论文)任务书 课题名称: 交通灯控制电路的设计与制作 完成期限: 2011年10 月 31日 至 2012 年 1月 8 日 姓 名 白玉 指导教师 赵俊英 专 业 通信技术 职 称 讲师 所在系 电子技术系 系 主 任 刘松 接受任务日期 2011.10.31 批准日期 2011.11.1 一、原始依据(资料): 1. 《数字电子技术》,杨志忠,高等教育出版社,2010 2. 《实例讲解Multisim 10电路仿真》,程勇,2010 二、设计(论文)内容和要求: 设计内容: 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。 设计要求: 1. 用晶振或555电路产生电路工作脉冲; 2. 用基本的计数器芯片实现分频,计时功能; 3. 配合数字电路逻辑芯片,实现交通灯的状态控制; 4. 给出电路的multisim仿真结果; 5. 设计硬件电路,并实现。 三、建议查阅的技术资料: 1. 《通用电子元器件的选用与检测》 王昊等,电子工业出版社 2. 《常用电子元器件及典型芯片应用技术》 刘法治等,机械工业出版社 天津电子信息职业技术学院 页号(1) 毕业设计(论文)进度计划表 序号 起止日期 计划完成内容 实际完成内容 检查日期 检查人签字 1 201110.31 -2011.11.7 确定课题,布置任务 对课题进行初步了解 2 2011.11.8 2011.11.15 收集资料,了解交通信号灯的原理及设计。 搜索到资料及一些相 关书籍 3 2011.11.16 2011.11.26 进行multisim代码设计及波形仿真 设计了multisim代码并仿真出了波形 4 2011.11.27- 2011.12.13 进行硬件电路搭接 完成调试,达到预期效果 5 2011.12.14 2011.12.20 按照论文正式格式完成论文初稿 按照论文格式,将起草的论文转化成论文初稿 6 2011.12.21 2011.12.27 指导检查论文撰写情况并检查、修改论文终稿 经过老师的指导,再次查阅资料及相关信息,找出了自己的不足,并进行修改 7 2011.12.28 2012.1.8 论文完成, 系毕业设计(论文)领导小组审阅意见: 系主任签字: 年 月 日 天津电子信息职业技术学院 页号(2) 注:1.本任务书由指导教师填写。 2.签字部分用笔填写,其余各项均要求打印。(宋体、小4号字) 毕业设计(论文)开题报告 毕业设计(论文)题目 交通灯控制电路的设计与制作 学生姓名 白玉 系别 电子系 专业、班级 通信S09-2班 指导教师 赵俊英 职称 讲师 工作单位 天津电子信息职业技术学院 指导教师 职称 工作单位 实践地点 天津电子信息职业技术学院 交表日期 毕业设计(论文)开题报告内容要求: ①课题的意义、现状及发展趋势。 ②课题的研究内容、研究方法、研究手段、研究步骤。 ③课题所需的参考书目等。 注:开题报告占毕业设计(论文)总成绩的10% 。 课题的意义、现状及发展趋势 随着我国经济的发展,公路上各种各样的车辆越来越多,给目前的交通网络控制带来了严峻的考验。作为交通控制主要枢纽工具的交通灯,在这场考验中扮演了一个重要的角色。通过国家一二级城市交通调查表明,目前的交通灯控制系统基本不

您可能关注的文档

文档评论(0)

cduutang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档