quartus逻辑分析仪 一个高人写的Quartus警告分析大全.doc

quartus逻辑分析仪 一个高人写的Quartus警告分析大全.doc

  1. 1、本文档共41页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
quartus逻辑分析仪 一个高人写的Quartus警告分析大全 导读:就爱阅读网友为您分享以下“一个高人写的Quartus警告分析大全”的资讯,希望对您有所帮助,感谢您对92的支持! 一个高人写的Quartus警告分析大全 (2010/08/27 00:45) 1.Found clock-sensitive change during active clock edge at time lt;timegt; on register quot;lt;namegt;quot; 原因:vector source file中时钟敏感信号(如:数据,允许端,早教机,清零,同步加载等)在时钟的边缘同时变化。而时钟敏感信号是不能在时钟边沿变化的。其后果为导致结果不正确。 措施:编辑vector source file 2.Verilog HDL assignment warning at lt;locationgt;: truncatedwith size lt;numbergt; to match size of target (lt;numbergt; 原因:在HDL设计中对目标的位数进行了设定,岁月无痕,如:reg[4:0] a;而默认为32位, 将位数裁定到合适的大小 措施:如果结果正确,无须加以修正,如果不想看到这个警告,可以改变设定的位数 3.All reachable assignments to data_out(10) assign #39;0#39;, registerremoved by optimization 原因:经过综合器优化后,输出端口已经不起作用了 4.Following 9 pins have nothing, GND, or VCC driving datain port --changes to this connectivity may change fitting results 原因:第9脚,空或接地或接上了电源 措施:有时候定义了输出端口,御淑堂,但输出端直接赋‘0’,便会被接地,赋1’接电源。如果你的设计中这些端口就是这样用的,那便可以不理会这些warning 5.Found pins ing as undefined clocks and/or memory enables 原因:是你作为时钟的PIN没有约束信息。可以对相应的PIN做一下设定就行了。主要是指你的某些管脚在电路当中起到了时钟管脚的作用,比如flip-flop的clk管脚,而此管脚没有时钟约束,因此QuartusII把“clk”作为未定义的时钟。

文档评论(0)

raojun0010 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档