第15讲 设计举例.ppt

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
一.看图写代码 二.自动售饮机设计 三.交通灯控制器设计 一、看图写代码 1.根据原理图写出相应的VerilogHDL程序 A B C VerilogHDL实现如下: module mycir(DIN, CLK,QOUT); input DIN,CLK; output QOUT; reg A,B,C; always @ (posedge clk) begin A = DIN; B = A; C = B; end assign QOUT = ~(C (A ^ B)); endmodule VHDL实现如下: Library ieee; Use ieee.std_logic_1164.all; mycir is Port ( din, clk : in std_logic; Qout : out std_logic); End mycir; Architecture behave of mycir is Signal a, b, c; Begin Qout = c nand (a xor b); Process (clk) Begin If clk’event and clk = ‘1’ then A = din; B = A; C = B; End if; End process; End behave; 2.已知某状态机状态图如下图所示: 参考:备注中有该状态机的VHDL语言描述 。 2.该状态机结构图如下图所示,试写出该状态机的verilog语言描述 。 自动售饮料机要求每次投币一枚,分为五角和一元两种,根据两种币值的投币信号指示售货机是否发货,以及是否找零。 假定饮料价格为2.5元。 二、自动售饮机设计 五种状态: idle:已投入0元; half:已投入0.5元; one:已投入1元; two:已投入1.5元; three:已投入2元; 自动售饮机设计(状态机) 信号定义: clk:表示时钟输入; reset:为系统复位信号; half_dollar:代表投入5角硬币; one_dollar:代表投入1元硬币; half_out:表示找零信号; dispense:表示机器售出一瓶饮料; collect:该信号用于提示投币者取走饮料; 自动售饮机设计(状态机) 状态转移图 自动售饮机源代码: module sell(one_dollar,half_dollar,collect,half_out,dispense,reset,clk); parameter idle=0,half=1,one=2,two=3,three=4; input one_dollar, half_dollar, reset,clk; output collect,half_out,dispense; reg collect,half_out,dispense; reg[2:0] D; 自动售饮机源代码: always@(posedge clk) begin if(reset) begin dispense=0;collect=0; half_out=0;D=idle;end case(D) idle: if(half_dollar) D=half; else if(one_dollar) D=one; half:if(half_dollar) D=one; else if(one_dollar) D=two; one:if(half_dollar) D=two; else if(one_dollar) D=three; two:if(half_dollar) D=three; else if(one_dollar) begin dispense=1;collect=1;D=idle; end three:if(half_dollar) begin dispense=1;collect=1;D=idle; end else if(one_dollar) begin dispense=1;collect=1;half_out=1;D=idle; end endcase end endmodule 三、交通灯控制器设计 交通灯控制器状态转换表: A方向 B方向 绿灯 黄灯 左拐灯 红灯 绿灯 黄灯 左拐灯 红灯 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 0 0 0 0

文档评论(0)

4477704 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档