集成實验DC实验报告.docVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
集成實验DC实验报告

武汉大学电工电子实验教学示范中心 2014 年 5 月 2 日 实验名称 逻辑综合()、1.掌握综合的基本流程和Design Compile软件的使用 2.对设计进行分块设计以获得更好的综合效果; 3. 对设计进行时间约束的综合。 二、.综合 DC是把RTL级的代码转化为门级网表。综合包括翻译,优化,映射三个步骤。 优化是基于所施加的一定时序和面积的约束条件,综合器按照一定的算法对翻译结果做逻辑优化和重组。在映射过程中,根据所施加的一定的时序和面积的约束条件,综合器从目标工艺库总搜索符合条件的单元来构成实际电路。 2.时序与面积约束 通过描述其设计环境,目标任务和设计规则来系统的约束设计。约束主要包含时序和面积信息,它们通常是从规格说明中提取出来的。DC用这些约束去综合和优化设计以符合其目标任务。 3.环境变量,设计规则和设计优化 (1)设计环境条件约束的环境变量 set_operating_conditions描述了设计的工艺、电压和温度等条件; set_load定义了输出单元总的驱动能力; set_driving_cell模拟了驱动输入管脚的驱动单元的驱动电阻; set_drive指明了输入管脚的驱动强度,模拟了输入管脚的外部驱动电阻; set_wire_load用来提供估计的统计线载(wire load)信息,反过来也用线载信息模拟net 延时。 (2) 设计规则 set_max_transition,set_max_ capacitance,set_max_fanout 设计规则在技术库中设置, 为工艺参数所决定。 set_max_transition value object list set_max_capacitance value object list set_max_fanout value object list 三. 实验设备与软件平台 基于UNIX 系统的服务器、PC 机(windows)、DC 综合软件 二、实验操作部分 实验数据、表格及数据处理(综合结果概要、仿真波形图、时序分析结果、signalTAPII 结果等) 实验操作过程(可用图表示) 结论 四. 实验内容 1. 对设计进行分块设计; 2. 会用DC_tcl完成设计命令; 3. 时间约束设计; 4.环境属性设置和规则设计。 五. 实验步骤 1. 分块设计 (1) 进入risc_design 所在的目录,,Read 和Link 在mapped 目录下的设计项目 my_first_design.ddc,在DesignVision 环境中,生成默认的“End Point Slack”。命令timing/endpoint Slack /ok,记录下最大的违反约束的数值,记录最大的单元面积。得到最大违反约束数值为0.13,最大面积2895.35。 图1 (2) 观察PRGM_CNT_TOP 的Schematic View,选择ViewHighlightCritical Path,在图上会显示出临界值的路径。点击子模块查看临界的路径。并记录画出临界路径。 (3) 清除内存中的设计项目:File/RemoveAllDesign 。重新读入和链接新的项目, Read 和Link 项目unmapped/PRGRM_CNT_TOP.ddc。在“Logical Hierarchy”重新分块,两个子模块都选择,I_RPGRM_DECODE和I_PRGRM_CNT(可使用CTRL+鼠标左键单击选择)。然后运行命令: design_vision-xg-tgroup –design NEW_PC\ -cell I_NEW_PC [get_selection] (4) design_vision-xg-treport_hierarchy –noleaf,记录相关信息。再运行命令design_vision-xg-tungroup –start_level 2 I_NEW_PC。转到“Symbol”或者“Schematic”视图,执行命令:source Scripts/example.tcl。执行默认的编译compile。 再次生成报告文件report_constraint -all_violators,并且把相关的信息记录在表上,与上一次的记录相比较。 2. Tcl文件的编辑与使用 (1) 进入unmapped 所在的目录,并选择unmapped/PRFRM_CNT_TOP.ddc 文件。 设置当前的工作项目为PRFRM_CNT_TOP。运行link 连接;指向该项目的约束条件由./scripts/example.Tcl 文件决定。执行默认的compile 编译。 产生和保存结果到reports/PRGRM_CNT_TOP.r

文档评论(0)

sd47f8cI + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档