[彩灯控制器的设计.docVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
[彩灯控制器的设计

彩灯控制器的设计--EDA课程设计 系统设计要求 1、要有多种花型变化(至少设计4种)。 2、多种花型可以自动变换,循环往复。 3、彩灯变换的快慢节拍可以选择。 4、具有清零开关。 系统设计方案 根据系统设计要求,现设计一个具有六种花型循环变化的彩灯控制器。系统设计采用自顶向下的设计方法,系统的整体组转设计原理图如下图所示,它由时序控制模块和显示控制模块两部分组成。整个系统有3个输入信号:系统时钟信号CLK,系统清零信号CLR和控制彩灯节奏快慢的选择开关SPRRD。9个输出信号LED[8..0],分别用于模拟彩灯。 VHDL源程序 时序控制模块的VHDL源程序(SX.VHD) LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY SX IS PORT( SPEED:IN STD_LOGIC; CLK:IN STD_LOGIC; CLR:IN STD_LOGIC; CLK1:OUT STD_LOGIC); END SX; ARCHITECTURE ART OF SX IS SIGNAL CK:STD_LOGIC; BEGIN PROCESS(CLK,CLR,SPEED)IS VARIABLE TEMP:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN IF CLR=1 THEN CK=0; TEMP:=000; ELSIF(CLKEVENT AND CLK=1)THEN IF(SPEED=1)THEN IF TEMP=001 THEN TEMP:=000; CK=NOT CK; ELSE TEMP:=TEMP+1; END IF; ELSE IF TEMP=111 THEN TEMP:=000; CK=NOT CK; ELSE TEMP:=TEMP+1; END IF; END IF; END IF; END PROCESS; CLK1=CK; END ART; 显示控制模块的VHDL源程序(XS.VHD) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY XS IS PORT(CLK1:IN STD_LOGIC; CLR:IN STD_LOGIC; LED:OUT STD_LOGIC_VECTOR(8 DOWNTO 0)); END ENTITY XS; ARCHITECTURE ART OF XS IS TYPE STATE IS(S0,S1,S2,S3,S4,S5,S6); SIGNAL CURRENT_STATE:STATE; SIGNAL LIGHT:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN PROCESS(CLR,CLK1)IS CONSTANT L1:STD_LOGIC_VECTOR(8 DOWNTO 0):=001001001; CONSTANT L2:STD_LOGIC_VECTOR(8 DOWNTO 0):=010010010; CONSTANT L3:STD_LOGIC_VECTOR(8 DOWNTO 0):=011011011; CONSTANT L4:STD_LOGIC_VECTOR(8 DOWNTO 0):=100100100; CONSTANT L5:STD_LOGIC_VECTOR(8 DOWNTO 0):=101101101; CONSTANT L6:STD_LOGIC_VECTOR(8 DOWNTO 0):=110110110; BEGIN IF CLR=1 THEN CURRENT_STATE=S0; ELSIF(CLK1EVENT AND CLK1=1)THEN CASE CURRENT_STATE

文档评论(0)

lunwen1978 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档