- 1、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。。
- 2、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
- 3、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
采用等精度测频原理的频率计的程序与仿真文件名功能位显示的等精度频率计最后修改日期基准时钟被测信号复位信号超量程欠量程显示小数点频率数据预置闸门分频系数被测信号计数器基准信号计数器预置闸门实际闸门运算器频率数据中间信号此进程得到一个预置闸门信号此进程计被测信号脉冲数和得到一个实际闸门信号此进程完成在实际闸门时间内计基准脉冲数此进程完成等精度频率计的运算根据的大小来判断小数点的位置完成数据运算超量程显示欠量程显示等精度频率计仿真图说明小数点在的下降沿就输出在某些特殊情况仿真图所示只有当数据转换完成时
8.17 采用等精度测频原理的频率计的程序与仿真
--文件名:PLJ.vhd。
--功能:4位显示的等精度频率计。
--最后修改日期:2004.4.14。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity PLJ is
port(clk:in std_logic; --基准时钟(10KHz)
tclk:in std_logic;
您可能关注的文档
最近下载
- 深度学习基础及应用(人工智能、大数据技术、计算机等相关专业)全套教学课件.pptx
- 《深度学习基础及应用》教案 第9课 利用循环神经网络进行文本预测和分类(二).docx VIP
- 《深度学习基础及应用》教案 第8课 利用循环神经网络进行文本预测和分类(一).docx VIP
- 《深度学习基础及应用》教案 第6课 利用卷积神经网络进行图像处理(一).docx VIP
- 《深度学习基础及应用》教案 第10课 利用生成对抗神经网络进行图像生成.docx VIP
- 《深度学习基础及应用》教案 第8课 利用循环神经网络进行文本预测和分类(一).pdf VIP
- 《深度学习基础及应用》教案 第5课 构建神经网络(三).docx VIP
- 《深度学习基础及应用》教案 第2课 夯实深度学习开发基础.docx VIP
- 人教版五年级上册数学精品教学课件 第3单元 3.3 商的近似数.ppt VIP
- 《深度学习基础及应用》教案 第10课 利用生成对抗神经网络进行图像生成.pdf VIP
文档评论(0)