数字逻辑期末考试试卷(含答案).doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字逻辑期末考试试卷(含答案)

2007-2008学年第一学期 期末考试试题(答案) 装 装 订 线 内 请 勿 答 题 考试科目:数字逻辑 试卷类别:3卷 考试时间:110 分钟 计算机学院 ______________系 级 班 姓名 学号 毛 题号 一 二 三 四 总分 得分 得分 评卷人 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10 B.(64)10 C.(256)10 D. 2. 已知逻辑表达式,与它功能相等的函数表达式_____B____。 A. B. C. D. 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A. 原码 B.ASCII码 C. 补码 D. BCD码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系 B. 异或关系 C.同或关系 D.无法判断 5. 连续异或1985个1的结果是____B_____。 A.0    B.1 C.不确定  D.逻辑概念错误 6. 与逻辑函数 功能相等的表达式为___C_____。 A. B. C. D. 7.下列所给三态门中,能实现C=0时,F=;C=1时,F为高阻态的逻辑功能的是____A______。 BFC B F C B A ? EN C B A F ? EN A FCB F C B A ? EN D F C B A ? EN C 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 CPQQDC A. 500KHz CP Q Q D C C. 100KHz D.50KHz 装 订 装 订 线 内 请 勿 答 题 A. 计数器 B. 译码器 C. 加法器 D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011 共阴极LED数码管A B C D 共阴极LED数码管 A B C D a b c d e f g 译码器 g f d e c a b 得分 评卷人 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N个输入端的二进制译码器,共有_______个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。 15.按逻辑功能分类,触发器可分为__RS___、__D__、__JK__、_T_等四种类型。 16.对于D触发器,若现态Qn= 0,要使次态Qn+1=0,则输入D=__0_____。 17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。 18.多个集电极开路门(OC门)的输出端可以 _____线与_______。 19.T触发器的特性方程是________,当T=1时,特性方程为________,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy的频率与计数器时钟脉冲CP的频率之间的关系是____1﹕10_________。 得分 评卷人 三、分析题(共40分) 21.(本题满分6分)用卡诺图化简下列逻辑函数 解:画出逻辑函数F的卡诺图。得到 CD AB 00 01 11 10 00 1 1 1 01 11 1 1 1 1 10 1 1 1 22. (本题满分8分)电路如图所示,D触发器是正边沿触发器,图中给出了时钟CP及输入K的波形。 (1)试写出电路次态输出逻辑表达式。(2)画出的波形。 QCP

文档评论(0)

bm5044 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档