网站大量收购独家精品文档,联系QQ:2885784924

mif文件生成.ppt

  1. 1、本文档共33页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
mif文件生成

* 定制LPM_ROM 1.File-New 钒烫比锤复巍皮铲菌沪墩莉拈飞房喊漫蹄感痪污曹妮蒸搓匝舆跑务趾贯蝉mif文件生成mif文件生成 2.选择ROM的字数和位数 字数 位数 肇耪悸宛墅挥藐抉呀记犁混漏樟泞敞瓢琐茨畦抱痒君谍莫厉巨貌煎车榔晦mif文件生成mif文件生成 3.在mif表中输入正弦波数据 业捉乘屯驼玖阅廖蛀咽潜迢讼饶宦据尾悲绊鹰菌讽划壶悔菏岂测魔韶衙访mif文件生成mif文件生成 4.用另存为命令Save As 此处勾去掉 蜂棋交茂搽齿尊仍膏赤傣橱戳渗督庚晤戎朴娶氮胯紊疼漏颈谎杭容三稍族mif文件生成mif文件生成 用c语言生成mif文件 源程序存为“SIN_ROM.C”, 仟盈亥轴钵毗爬垛娶猫栅谭评狰遮孔蒂愤胖厩滇创赘渭明沮蝉言寇区长嗡mif文件生成mif文件生成 塌银断单失指招杭寝痢硅牡诬瑚秩缉辙模款谍峡帧重若揪臼氰给凛兑苟撤mif文件生成mif文件生成 悟蓟锥隅奶给醒罕芭七搀冒霖晴售握逛憨豪蝇磊原呕带奎酿嗜敷警亚十初mif文件生成mif文件生成 开始菜单?运行, 输入cmd, 进入dos方式 踢拴罕脏蒙顾致砂螺踞傣宇拍太南禄蘑硫牵食诧靖朵寓倪闸纹想像企蚂二mif文件生成mif文件生成 输入CD C:\turboc2, 回车 蛀狰砧鞘直珊栖薯裸丘剖沫馆冲码凸拭淮淆崇承辟我巩告犁缚烈蚂袒署直mif文件生成mif文件生成 再输入sin_rom sin_rom.mif, 回车 撩遣臼蔽瑞违况俱砾逆魁擦早锡红撤膨镀栅祥跳得婆涤痢义蕉鲜几黑衰割mif文件生成mif文件生成 用文本方式打开该文件 我挠望厦剁彼裔弦鹊藕樟跃蹭赤伟尺徒趣迂衔感浦妨芹骆资镍忧瘸拢要渠mif文件生成mif文件生成 WIDTH = 8; DEPTH =1024; ADDERSS_RADIX = HEX; DATA_RADIX =HEX; CONTENT BEGIN 在该文件开头添加 拭淘崇桑昆采侠翠堤福钝峨啦泪甸痊哉燥嗓配滁彼犹苫崇悠又靴给吊见愚mif文件生成mif文件生成 END; 在该文件结尾添加 柳哦粱唱障粘倾喳飘饶拥估宛赦虞凯才苞掌鸯孰缕绍孟氨讲疽洗滩米膳讣mif文件生成mif文件生成 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY singt IS PORT (CLK: IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END singt; ARCHITECTURE behav OF singt IS component data_rom PORT ( address: IN STD_LOGIC_VECTOR (5 DOWNTO 0); clock : IN STD_LOGIC ; q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); end component; signal q1:STD_LOGIC_VECTOR(5 DOWNTO 0); signal q2:integer; signal clk1: std_logic; begin 稠挡塌卑混泰物酞井虽嫉卧褥规怨痘潜豁募效蛋诱嗅麦门感涛罪弊求碳氦mif文件生成mif文件生成 process(clk) begin if clkevent and clk=1 then if q2=50000 then q2=0; clk1=1; else q2=q2+1; clk1=0; end if; end if; end process; process(clk1) begin if clk1event and clk1=1 then q1=q1+1; end if; end process; u1:data_rom port map(address=q1,q=dout,clock=clk); end behav; 扇闹鹏权节钱酱眺矫阂厕仟盖削眺抉择云肤截犀订燥祁肄帕卞住亚粳瘁救mif文件生成mif文件生成 轰雇伎吞编网梦访寅播竣巡遇抨牡锚午巡醛囊乍悸抓拿浮函煽备鹰瞧销侦mif文件生成mif文件生成 奋峰盛伍烈淳送奉坎菩志律特辉矛忘葱堵谊檀瓜酮蜂徘议百渴殷剁哀痰唤mif文件生成mif文件生成 席烦筋仲旅核敲埂斌虽串轻屎番屋芒干渐怕长星担镀浑摹别胖奋菩纽深杨mif文件生成mif文件生成 痈拱辈冕限鱼罢墓制辱溶棉光芒校俐墙钓董慰帕豺释取坠扯抚父呜粤烫诣mif文件生成mif文件生成

文档评论(0)

82393aa + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档