- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字逻辑第三章课后答案
3-1
首先进行逻辑抽象。题目中输入为10个十进制数据,设为I0到I9。输出为四位的8421码,设为Y0到Y3。由此得系统框图为:
接着进行逻辑分析。假设输入数据中,I9的优先权最高,I0最低。则真值表为:
根据真值表,得逻辑函数表达式为:
最后,采用数据流描述方法,根据逻辑函数表达式,得VHDL描述的程序:
实体:
library IEEE;
use IEEE.std_logic_1164.all;
entity encoder8421 is
port(
I: in std_logic_vector(0 to 9);
Y: out std_logic_vector(3 downto 0)
);
end encoder8421;
结构体:
architecture encoder8421a of encoder8421 is
signal tmp1,tmp2,tmp3: std_logic;
begin
Y(3)=I(8) or I(9);
tmp1=(not I(8)) and (not I(9));
Y(2)=(I(7) or I(6) or I(5) or I(4)) and tmp1;
tmp2=(not I(4)) and (not I(5));
Y(1)=( I(7) or I(6) or (I(3) and tmp2) or (I(2) and (not I(3)) and tmp2)) and tmp1;
tmp3=(not I(8)) and (not I(6));
Y(0)=I(9) or (I(7) and (not I(8))) or (I(5) and tmp3) or (I(3) and (not I(4)) and tmp3) or (I(1) and (not I(2)) and (not I(4)) and tmp3);
end encoder8421a;
3-3
首先进行逻辑抽象。题目中输入为一组4位的二进制数,设为A3 A2A1A0。4位二进制代码从0000~1111共16个码字,因此,输出是输入对应的16个信号,用Y15~Y0表示。由此得系统框图为:
接着进行逻辑分析。当译码器的输入是0000时,Y0有效,输入是0001时,Y1有效。依次类推,得到对应的真值表:
最后,采用行为描述方法,根据真值表,得VHDL描述的程序:
实体:
library IEEE;
use IEEE.std_logic_1164.all;
entity decoder4_16 is
port(
A: in std_logic_vector(3 downto 0);
Y: out std_logic_vector(0 to 15)
);
end decoder4_16;
结构体:
architecture decoder4_16a of decoder4_16 is
begin
process(A)
begin
case A is
when “0000” = Y(0) =’1’;
when “0001” = Y(1) =’1’;
when “0010” = Y(2) =’1’;
when “0011” = Y(3) =’1’;
when “0100” = Y(4) =’1’;
when “0101” = Y(5) =“1”;
when “0110” = Y(6) =’1’;
when “0111” = Y(7) =’1’;
when “1000” = Y(8) =’1’;
when “1001” = Y(9) =’1’
when “1010” = Y(10) =’1’;
when “1011” = Y(11) =’1’;
when “1100” = Y(12) =’1’;
when “1101” = Y(13) =’1’;
when “1110” = Y(14) =’1’;
when “1111” = Y(15) =’1’;
when others = null;
end case;
end process;
end decoder4_16a;
3-4
首先进行逻辑抽象。题目中,4人参加表决,同意为1,不同意为0,分别用变量A3 、A2、A1和A0表示。输出为红灯和绿灯,分别用Y0和Y1,表示,1表示亮,0表示不亮。由此的系统框图为:
接着进行逻辑分析。同意者过半表示通过,即有3个或4个同意则Y1为1,其余情况Y0为1。真值表为:
根据真值表,得逻辑函数表达式为:
最后,采用数据流描述方法,根据逻辑函数表达式,得VHDL描述的程序:
实体:
library IEEE;
use IEEE.std_logic_1164.all;
文档评论(0)