数字逻辑(第六版白中英)课后习题答案解读.docx

数字逻辑(第六版白中英)课后习题答案解读.docx

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第五章习题答案画出与阵列编程点解:画出或阵列编程点解:与、或阵列均可编程,画出编程点。解;4变量LUT编程解:用VHDL写出4输入与门解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY and4 ISPORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC);END and4;ARCHITECTURE and4_arc OF and4 ISBEGIN x<=a AND b AND c AND d;END and4_arc;用VHDL写出4输入或门解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY or4 ISPORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC);END or4;ARCHITECTUREor4_arc OF or4 ISBEGIN x<=a OR b OR c OR d;END or4_arc;用VHDL写出SOP表达式解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (a,b,c,d,e,f:IN STD_LOGIC; x:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISBEGIN x<=(a AND b) OR (c AND d) OR (e AND f);END sop_arc;用VHDL写出布尔表达式解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY boolean ISPORT (a,b,c:IN STD_LOGIC; f:OUT STD_LOGIC);END boolean;ARCHITECTURE boolean_arc OF boolean ISBEGIN f<=(a OR (NOT b) OR c) AND (a OR b OR (NOTc)) AND ((NOT a)OR (NOT b) OR (NOT c));END boolean_arc;用VHDL结构法写出SOP表达式解:源代码:――三输入与非门的逻辑描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY nand3 ISPORT (a,b,c:IN STD_LOGIC; x:OUT STD_LOGIC);END nand3;ARCHITECTURE nand3_arc OF nand3 ISBEGIN x<=NOT (a AND b AND c);END nand3_arc;――顶层结构描述文件LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (in1,in2,in3,in4,in5,in6,in7,in8,in9:IN STD_LOGIC; out4:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISCOMPONENT nand3PORT (a,b,c:IN STD_LOGIC; x:OUT STD_LOGIC);END COMPONENT; SIGNAL out1,out2,out3:STD_LOGIC;BEGIN u1:nand3 PORT MAP (in1,in2,in3,out1); u2:nand3 PORT MAP (in4,in5,in6,out2); u3:nand3 PORT MAP (in7,in8,in9,out3); u4:nand3 PORT MAP (out1,out2,out3,out4);END sop;用VHDL数据流法写出SOP表达式解:源代码:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (in1,in2,in3,in4,in5,in6,in7,in8,in9:IN

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档