- 1、本文档共10页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯信号控制器的设计大学学位论文
目 录
(一)课程任务书…………………………………………………………2
(二)设计题目方案确定…………………………………………………3
(三)设计项目输入编译和仿真…………………………………………4
(四)器件编程下载与硬件验证…………………………………………7
(五)实验总结与心得……………………………………………………8
课程设计任务书
课题名称 交通信号控制器接口设计 完成时间 指导教师 职称 高工 学生姓名 班 级 3 总体设计要求和技术要点 主要课程支撑及实践目标要求:本课程是一门以实践环节为主的专业技术基础课,前序课程为:工程数学、电路分析、数字电路、模拟电子技术等,后续课程为《单片机原理及应用》、《DSP技术应用》、《SOPC设计》等,是电子信息类各专业的设计工具,也是电子系统设计的基础。
通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。
具体要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,,主干道绿灯,状态,,主干道红灯,,,主干道绿灯,状态。
2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,主黄,和主红,状态,4s。
3.?手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;
所需仪器设备:计算机、EDA实验箱、示波器
成果验收形式:EDA实验箱上连接电路实现整个设计;验收设计的显示结果及各模块软件程序。
参考文献:1.,,主干道绿灯,状态,,主干道红灯,,,主干道绿灯,状态。
2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,主黄,和主红,状态,4s。
3.?手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;
3 设计思路:
(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为00 01状态时,总保持主干道绿灯支干道红灯状态;
(2)当主路总无车而支路总有车时,即传感器开关为01状态时 ,总保持主红支绿;
(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。
当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄,支红”,“主红,支黄”两种状态。
(二)设计项目输入编译和仿真
1 设计交通控制器的VHDL文本程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all;
entity jtdkz is
port(clk,sens_m,sens_f:in std_logic;
m,l,n:in std_logic;
rm,ym,gm,rf,yf,gf:out std_logic);
end jtdkz;
architecture arc of jtdkz is
type state_type is (a,b,c,d);
signal state:state_type;
signal k:std_logic_vector(2 downto 0);
begin
k=mln;
cnt:process(clk,state)
variable g,s:integer range 0 to 49;
variable nclr,en:bit;
begin
if k=001 then g:=29;
elsif k=010 then g:=39;
elsif k=100 then g:=49;
else g:=0;
end if;
if(clkevent and clk=1) then
if nclr=0 then s:=0;
elsif en=0 then s:=s;
else s:=s+1;
end if;
case state is
when a=rm=0;ym=0;gm=1;
rf=1;yf=0;gf=0;
if(sens_f and sens_m)=1 then
if s=g then
state=b;nclr:=0;en:=0;
else
state=a;nclr:=1;en:=1;
end if;
elsif(sens_f and (not sens_m
文档评论(0)