数字电路辅导教材42.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字电路辅导教材42

六、习题 4.1 编码器的主要功能是什么? 4.2 为什么普通编码器在任何时刻只允许输入一个编码信号?优先编码器的工作特点是什么? 4.3 编码器和译码器有何不同? 4.4 按功能的不同,译码器分为几种类型? 4.5 七段半导体数码管分为哪几种类型?工作特点有何不同? 4.6 简述用MSI设计组合逻辑电路的步骤。 4.7 数据选择器、译码器均可以实现逻辑函数,二者有何区别? 七、自我检查题 4.1 分别用双四选一数据选择器和3/8线译码器实现一个全加器。 4.2 用八选一数据选择器实现逻辑函数: Y(A,B,C,D)=∑m(1,2,3,5,6,8,,9,12) 4.3 用3/8线译码器和门电路实现多输出函数: Y1=+AB Y2=+B Y3=AB+ 4.4 试用全加器74LS283将余3码转换为8421BCD码。并画出逻辑电路图。 4.5 试用两个四位数值比较器实现三个四位数的比较判别电路。要求判断A、B、C三个数是否相等,若不等,A是否最大或是否最小。 4.6 设计一多数表决电路。要求A、B、C三人中只要有两人以上同意,则决议就能通过。但A还具有决定权,即只要A同意,即使其他人不同意也能通过。 列出真值表并写出逻辑函数。 化简逻辑函数,用与非门设计并画出电路图。 用MUX74153(图略)实现设计并画出电路图。 八、考研提高题 4.1 试用3线-8线译码器(图略)和最小的门电路实现逻辑函数F(A,B,C)=AB+BC+C。译码器输出低电平有效,使能端EN=S1 S2+S3。 (北京理工大学2000年考研试题) 4.2 设计一个多功能组合逻辑电路,M1、M0为功能选择输入信号,a、b为逻辑变量,F为电路的输出,当M1M0取不同值时,电路具有不同的逻辑功能如表4.5所示。试用八选一数据选择器和最少的与非门实现电路。数据选择器的功能表如表所示(略),并规定M1M0及a分别接选择器的A2A1A0,如图4.18所示。 (上海交通大学1998年考研试题) 输 入输 出M1 M0F0 0a0 1ab1 0ab1 1a+b表4.5 图4.18 4.3 用图4.19所示的4个已联结好的与非以及一个4选1数据选择器实现逻辑函数f (Q,R,S,T)=∑m(4,5,6,7,8,13,14,15)。无0、1信号,也无Q、R、S、T等反变量可??为输入,唯一可用的输入变量是Q、R、S、T,4个与非门中只有在标有①、②、③处可供连接。 (上海交通大学2000年考研试题) 图4.19 1 2 4.4 ⑴ 图4.20所示电路是一个多功能函数发生器,其中C2、C1、C0为控制信号,X、Y为数据输入,试列表说明当C2C1C0为不同取值组合时,输出端L的逻辑功能(L(X,Y)的表达式)。 ⑵ 试改用一个( 74LS352)及最少的门电路实现上述多功能函数发生器。74LS352的功能表及图形符号分别如表4.6和图4.20所示。 (上海交通大学1999年考研试题) 表4.6 4选1数据选择器真值表 输 入输 出SB AY1× ×000 0D000 1D101 0D201 1D31 2 图4.20 74LS352图形符号 4.5 图4.21中COMP为四位数值比较器CT74LS85,已知其功能表(略)。输入X=x3x2x1x0为一个四位二进制数,F3、F2、F1为输出。试分析该电路的功能。 (北京理工大学1997年考研试题) 图4.21 4.6 由4位超前进位加法器74LS283和4位2选1数据选择器74LS157组成的电路如图4.22所示,其中S为输入控制信号。试填写表4.7所示的输入输出对照表,并概述电路的逻辑功能。 (南京航空航天大学2000年考研试题) 图4.22 表4.7 输 入S=0S=1b4 b3 b2 b1Y0 Y1 Y2 Y3Y0 Y1 Y2 Y30 0 0 00 0 0 00 0 0 00 0 1 11 1 0 01 0 1 10 1 0 11 0

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档