DFT、ATPG总结.PDF

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
DFT、ATPG总结

DFT、ATPG总结 吴瑞祥 2006年1月4日 1 1. DFT设置 2006年1月4日 2 例化IO等单元 ? 在顶层用综合库中的IO_PAD单元例化设计中的IO单元 ? 在顶层用空的module例化模拟单元 ? 在顶层加入复位、测试、振荡等模块 ? 在顶层加入IP 2006年1月4日 3 数据准备 ? read_db *.db ? read_verilog *.v ? current_design TOP ? link ? report_area 读入db或者verilog格式的网表 设置顶层单元名称 链接和报告面积 2006年1月4日 4 DFT测试时序设置 ? set test_default_period 100 ? set test_default_delay 0 ? set test_default_bidir_delay 0 ? set test_default_strobe 40 设置缺省测试周期 设置缺省测试延时和双向端口延时 设置缺省测试选通时间 一般情况下都是这样设置的 2006年1月4日 5 DFT测试端口信号设置 ? set_dft_signal -view existing -type ScanClock - port clk -timing {45 55} ? set_dft_signal -view existing -type Reset -port rst -active 1 ? set_dft_signal -view existing -type Set -port set -active 1 ? set_dft_signal -view existing -type ScanEnable -port se -active 1 ? set_dft_signal -view existing -type Constant -port test -active 1 设定测试扫描时钟信号 设定复位和置位信号,为1时复位和置位 设定扫描使能信号,为1时扫描 设定DFT测试信号,为0时正常工作,为1时进入DFT测试 2006年1月4日 6 autofix设置 ? set_dft_configuration -fix_clock enable ? set_dft_configuration -fix_reset enable ? set_dft_configuration -fix_set enable ? report_dft_configuration ? set_autofix_co

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档