《数字电子技术基础第二版》2.6集成逻辑门电路.pptVIP

《数字电子技术基础第二版》2.6集成逻辑门电路.ppt

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
《数字电子技术基础第二版》2.6集成逻辑门电路

2.6 用VHDL描述门电路 上页 下页 后退 模拟电子 数字电子技术基础 上页 下页 返回 进程就是VHDL中最主要的并发语句,是联系顺序层和并发层的一种纽带。并发层使VHDL可以在同一模拟时间运行许多不同的进程。 VHDL定义的数字系统的行为: 顺序(Sequential)层和并发(Concurrent)层。 顺序层就是在每一个进程中用顺序语句规定它没一步的行为; 并发层就是定义这些进程互相的关系,特别是它们之间的信息传递问题。 2.6.1 行为和结构描述 1. 进程 进程(process) :行为描述构造体中的一部分,它用时序语句描述了硬件的行为。 [进程名] process [敏感信号表] 变量说明语句; begin 顺序说明语句; end process [进程名]; 进程句法的一般形式: 2. 并发行为 用硬件描述语言VHDL所设计的电子系统实际工作时是并行操作,所以系统中的元件在模拟时间上应该同时运行。并发语句就是用来表示这种并发行为的。 VHDL语言中电路描述部分(architecture)中的语句都是并行的,在字面上的顺序并不代表它们的执行顺序。 [例1] 两个在功能完全等价的结构体 ENTITY exe IS PORT (a,b:IN bit ; c,d:OUT bit); END exe; ARCHITECTURE exe_arc1 OF exe IS BEGIN c = a AND b; d = NOT a OR b; END exe_arc1; ARCHITECTURE exe_arc2 OF exe IS BEGIN d = NOT a OR b; c = a AND b; END exe_arc2; 3. VHDL的行为描述 行为描述是一种抽象的描述,它不具体对应电路的实现,是用算法来对单元的功能进行描述。 [例2] 加法器的行为描述 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity adder is port (op1, op2: in unsigned(7 downto 0); result : out INTEGER); end adder; architecture maxpld of adder is begin result = conv_integer(op1 + op2); end maxpld; 4. VHDL的结构描述 要描述一个设计单元的硬件结构,就是要描述它由那些元件组成,以及它们之间的连接关系。结构描述比行为描述更为具体化,它的基本单元是“调用元件语句”,这些元件通常是放在库里的已编译好的低层设计单元,在进行顶层设计时调用。 2.6.2 用VHDL描述门电路举例 [例3 ] 与非门的VHDL描述 ENTITY nandgate IS PORT (A,B:IN BIT ; Z:OUT BIT); END nandgate; ARCHITECTURE behave OF nandgate IS BEGIN nand_fune: PROCESS (A,B) BEGIN IF(A=‘1’ and b=‘1’)THEN z = ‘0’; ELSE z = ‘1’; END IF; END PROCESS nand_fune; END behave; entity compare is port (a,b:in bit;c:out bit); end compare ; architecture struct of compare is signal i:bit; component xr2 port (x, y:in Bit;z:out Bit); end component; component inv port ( x:in Bit;z:out Bit); end component; Begin U0:xr2 port map (a, b,i); U1:inv port map (i,c); end struct; [例4 ] 比较器的结构描述 对应的结构框图 x a b y z z x c i U0 xr2 U1 inv 二个元件:异或门XR2和反相器INV

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档