网站大量收购独家精品文档,联系QQ:2885784924

LED滚动屏VHDL.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
LED滚动屏VHDL

大作业实验报告 题 目:LED滚动屏 姓 名: 学 院: 专 业: 批 次: 2 学 号: 实验要求 在实验环境下,设计一个多功能的滚动屏。 (1)设置7种模式控制LED滚动屏的变化。 (2)用LED的是否点亮来代表所含有的信息。 (3)通过按键来实现对滚动速度的控制。 实验步骤 步骤一:打开操作软件diamond 2.0,file-new-project-next,出现对话框NEW PROJECT,在对话框中选择相应的芯片lattice XP2,LFXP2-5E。 : file-new-file 在对话框New File 中,选择verilog,建立源文件。在TextEditer 中编辑输入Verilog 语言源程序并保存。 步骤三:在主界面 Process 窗口中双击Translate Design,对所编辑的代码进行综合。 步骤四:在 Diamond 主界面中,点击Tools-Spreadsheet view,打开Spreadsheet view框。在pin 一栏中填入各输入输出映射的管脚。分配完管脚之后点击保存,在主界面Process 框中,对Map Design,PlaceRoute Design,Export Files 选中所有的选项,然后右键选中JEDEC File,单击其下拉菜单中的Rerun All。 步骤五:在 Diamond 主界面中,进入Tools-Programmer烧写程序。在State 栏出现PASS,即说明烧写成功。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity circle_led is Port(clk,reset,speed: in std_logic; led: out std_logic_vector(6 downto 0)); end circle_led; architecture BEHAVIORAL of circle_led is signal f_counter: std_logic_vector(27 downto 0); signal t_counter: std_logic_vector(2 downto 0); signal t_speed: std_logic_vector(2 downto 0); signal clk0: std_logic; signal light:std_logic_vector(6 downto 0); begin process(clk,speed) begin if reset=0 then t_speed=001; light=1000101; elsif speed=0 then if t_speed=111 then t_speed=001; else t_speed=t_speed+1; end if; elsif clkevent and clk=1 then if f_counter=0010111110101111000010000000 then f_counter=0000000000000000000000000000; if t_counter=t_speed then t_counter=001; light=light(5 downto 0)light(6); else t_counter=t_counter+1; end if; --clk0=not clk0; else f_counter=f_counter+1; end if; end if; end process; led=light; end BEHAVIORAL; 实验结果 烧写完成以后,reset以后,系统开始工作以后,开始我们提前设置好的信息1000101Led以每秒一个led灯的速度滚动,按下一次以后灯的长度就增加s。当,以的速度滚动完成以后,恢复到最初的s一次的滚动。本次大实验中关键是时钟的控制和发光二极管信息的控制,此次实验中依然使用实验板子上21号端口提供的内部时钟50Mhz,分频,计算的时间。按键的输入,改变信息滚动的速度

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档