八路彩灯 EDA.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
八路彩灯 EDA

重庆交通大学 信息科学与工程学院 综合性实验报告 姓 名: xx 学 号631206020xxx 班 级:电子信息工程专业xxxx级x班 实验项目名称: 循环8路彩灯控制电路 实验项目性质: 综合性试验 实验所属课程: 实验室(中心): 信息与通信实验室 指 导 教 师 : xxx 实验完成时间: xxxx 年 x 月 xx 日 一、实验目的 学习8路循环彩灯的设计,掌握基本的VHDL程序设计。 二、实验内容及要求 设计一个8路循环彩灯控制电路,要求其能按照一定顺序和时间间隔显示两种以上的花色,所显示的花色根据个人自定。 三、实验原理 本次设计分为两个部分:八位加法计数器,八路彩灯显示。 利用八个D触发器构成八位加法计数器,设置脉冲输入端:cp,预置端:r,使能端:en。并要求计数器计数再次返回最初状态重新计数,循环此计数功能,为之后的八路彩灯重复显示规定的三种花色做准备。 八路彩灯显示的设计利用case语句,输入是一个八位二进制数,输出是八位彩灯的状态。输入的八位二进制数由八位加法计数器计数结果得到。 最后使用元件例化语句将两个部分合二为一,实现八路彩灯的控制。 五、实验过程及原始记录(含电路图) 为本次设计建立一个文件夹并取名final。 打开Quartus II软件,新建一个工程,再选择菜单“file”--“new”,在弹出的“new”对话框中选择“Device Design File”的文本编辑输入项“VHDL file”,再点击“Ok”后打开文本编辑窗,在此文本编辑窗中编写需要的程序。 在编辑窗口编写程序,根据题目要求和设计思路编写并进行编译、排错,直到能编译通过。 先写八位加法计数器的程序,设置脉冲输入端cp,预置端r,使能端en,输出端outy,当en=1和前两个脉冲中r=1时计数,当计数,重新从0开始计数,如此循环。 再写八路彩灯显示的程序,使用case语句,计数器从0开始计数直过程中,八个灯变换三种不同的花色。最后使用元件例化语句将两部分结合起来,定义总的输入端clk,预置端rs,使能端e,输出端co_8,就可以将八路彩灯的花色显示出来。 编译通过后进行仿真,仿真前要建立波形文件,file---new----other---files选项卡中的vector waveform,在新建的波形文件左边空白栏点击鼠标右键,选择insert---insert node or bus-----node finder,这时出现文件输入输出端口后,再点击“》”加入到右边“selected nodes”栏中,然后点击OK,根据要求设置相应的输入端的值。波形文件设置好后要立即保存在相同文件夹下,名字与文本名相同。 进行仿真,分析波形。 程序分别为:八位加法计数器、八路彩灯显示、元件例化程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity chu_8 is port(cp,r,en:in std_logic; cout:out std_logic; outy:out std_logic_vector (7 downto 0)); end chu_8; architecture bhv of chu_8 is signal q: std_logic_vector (7 downto 0); begin process (cp,r,en) begin if (r=1) then q else if (cpevent and cp=1) then if (en=1) then if ( then q=q+1; else q end if; end if; end if; end if; outy=q; end process; end bhv; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity deng_8 is port (a: in std_logic_vector (7 downto 0); c_8: out std_logi

文档评论(0)

ldj215322 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档