脉冲序列发生器设计课案.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
脉冲序列发生器设计课案

摘 要 脉冲序列检测器广泛应用于现代数字通信系统中,随着通信技术的发展,对多路脉冲序列信号检测要求越来越高。现代通信系统的发展方向是功能更强、体积更小、速度更快、功耗更低,大规模可编程逻辑器件FPGA器件的集成度高、工作速度快、编程方便、价格较低,易于实现设备的可编程设计,这些优势正好满足通信系统的这些要求。随着器件复杂程度的提高,电路逻辑图变得过于复杂,不便于设计。VHDL(VHSIC Hardware Description Language)是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言。VHDL具有极强的描述能力,能支持系统行为级、寄存器输级和门级三个不同层次的设计,实现了逻辑设计师多年来梦寐以求的“硬件设计软件化”的愿望,给当今电子通信系统设计带来了革命性的变化。 本文针对传统的脉冲序列检测器方案,提出了一种基于对脉冲序列检测器设计的新方案,该方案相对于传统的设计方法更适合于现代数字通信系统,不但大大减少了周边的设备,也使系统设计更加灵活,稳定性更好,性价比更高,可以满足多种环境下的检测系统的要求。 关键词:多路数据选择器、Multisim、计数器、序列检测器 目录 摘要..................................................1 1目录...................................................1 2. 设计内容及设计要求....................................2 3.1 实验目的............................................3 3.2 参考电路...........................................4 3.3 实验内容及主电路图................................5 3.4多谐振荡器的介绍.....................................6 3.5计数器的介绍.........................................9 3.6数据分析............................................12 3.7数据选择器的介绍.....................................14 4实验结果..............................................16 4.1实验结果的分析.......................................17 设计总结...............................................18 致谢...................................................19 参考文献...............................................20 2设计内容及技术要求 1、设计并制作一个脉冲序列发生器,周期性的产生8位长度的任意脉冲序列,脉冲序列可以通过设置电路自由设置。 2、能够检测出设置的脉冲序列,在每出现一次设置的脉冲序列时,点亮一次LED; 3、时钟脉冲周期为1HZ; 4、对设置的脉冲序列值通过适当的方式进行指示; 5、电源:220V/50HZ的工频交流电供电; 6、(直流电源部分仅完成设计仅可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源能够满足电路要求) 7、按照以上要求设计电路,绘制电路图,对设计的的电路用Multisim或OrCAD/PspiceAD9进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。 发挥部分: 1、其他恰当的功能。 2.实验目的 通过本次设计,进一步熟悉多谐振荡器、计数器、数据选择器的用法,掌握脉冲序列发生器的设计方法。 3.参考电路 (1)设计方案 周期性脉冲序列发生器的实现方法很多,可以由触发器构成,可以由计数器外加组合逻辑电路构成,可以有GAL构成,也可以由CPLD\FPGA构成等等。本设计采用由计数器加多路数据选择器的设计法案,脉冲序列发生器原理框图如(1)图所示。 图(1)脉冲序列发生器原理框图 (2)参考设计 脉冲序列发生器需要一个时钟信号,可采用由TTL非门和石英晶体振荡器构成的串联式多谐振荡器产生时钟信号,如图(2)所示。 主电路部分如图(3)所示,图中74LS161和与非门构成十二进制计数器,为脉冲序列的宽度为12位。 4.实验内容

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档