- 1、本文档共8页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PCI内核源代码说明
PCI 从设备代码说明:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity pcislave is port( //PCI 接口说明
//CLK :33M PCI 时钟;
//RST : PCI 复位,低有效;
// IDSEL : PCI 配置空间选择,高有效;
// FRAME :PCI 祯周期开始,低有效;
// IRDY : PCI 主设备准备好,低有效;
clk,rst,idsel,frame,irdy : in std_logic;
// TRDY : PCI 目标设备准备好,低有效;
// DEVSEL :PCI 目标设备选择,低有效;
// STOP : PCI 目标设备停止,低有效;
trdy,devsel,stop : inout std_logic;
// PCI 效验输出使能,高有效;
paren1 : out std_logic;
// DATA RD OUT : 局部总线读输出信号,高有效;
// DATA WR : 局部总线写输出信号,高有效;
// DMASEL : DMA 允许,高有效;
data_rd_out,data_wr,dmasel : out std_logic;
// IOSEL : IO 空间选择输出,高有效;
// MEMSEL : 内存空间选择输出,高有效;
iosel,memsel : buffer std_logic;
// EXT ADD : 局部总线地址译码输出,IO 空间只用(7 到0 )
ext_add : out std_logic_vector(21 downto 0);
// CBE : PCI 命令及字节输入
cbe : in std_logic_vector(3 downto 0);
// AD : PCI 地址及数据复用信号
ad : inout std_logic_vector(31 downto 0));
end pcislave;
architecture beha of pcislave is
// 目标读状态机
type slaverdst is (idle1,dev_st1,rdst1,rdst2,rdst3,rdst4,rdstopst,ctst1);
// 目标写状态机
type slavewrst is (idle2,dev_st2,wrst1,wrst2,wrst3,wrst4,wrstopst,ctst2);
signal pre_state1,nxt_state1 : slaverdst;
signal pre_state2,nxt_state2 : slavewrst;
// PCI 配置空间定义 :
// PCI ID 号定义
constant id : std_logic_vector(31 downto 0) :=01000010010110000001000100000000;
// PCI 设备类型定义
constant clss : std_logic_vector(31 downto 0) :=00000100000000000000000000000000;
//PCI 编程接口类型定义:
constant ht : std_logic_vector(31 downto 0) :=00000000000000000010000000000000;
//PCI 保留空间定义,全‘0 ’
constant res : std_logic_vector(31 downto 0) :=00000000000000000000000000000000;
//PCI 保留地址译码,全‘0 ’
constant base : std_logic_vector(31 downto 0) :=00000000000000000000000000000000;
signal add,basereg1,basereg0,wrreg,intreg,stc,dqreg : std_logic_vector(31 downto 0);
signal cmd : std_logic_vector(3 downto 0);
signal st_clr,st_clr1,io_sel,iordsel,iowrsel,memrdsel,memwrsel,membase0_sel
您可能关注的文档
- 读写译Fresh start - 刘倩 王畇.ppt
- 2008年中考英语分册总复习(八).doc
- 初三英语期终复习检测试题(2008`12).doc
- 2013八上Unit5基础试题及答案.doc
- 2014年春福师大学英语2一答案.docx
- 2013中译提高练习.docx
- 4-Star精密台式钠度计 钠离子浓度计.doc
- 59store客户满意度调查.doc
- 2014年3月英语作业答案.doc
- 11 (铜闸阀)Specification for Brass Stop Valves.pdf
- 大学生职业规划大赛《新闻学专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《应用统计学专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《音乐学专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《中医学专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《信息管理与信息系统专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《汽车服务工程专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《水产养殖学专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《市场营销专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《音乐表演专业》生涯发展展示PPT.pptx
- 大学生职业规划大赛《音乐学专业》生涯发展展示PPT.pptx
文档评论(0)