QUARTUS__LPM模块功能介绍中文版.pdf

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
QUARTUS__LPM模块功能介绍中文版

QUARTUS8.0LPM模块功能介绍中文版(lwg9982004sBlog转载) QUARTUS 8.0 LPM 模块功能介绍中文版(lwg9982004s Blog转载) 本人英语很好很多地方都翻译的不太专业,现在贴出来请高 手修改下,同时也方便我们这些初学者。 Megafunctions/LPM The Quartus IIsoftware offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibilityonly. Arithmetic MegaWizardsandMegafunctions: Arithmetic components include accumulators, adders, multipliers,andLPMarithmeticfunctions. MegaWizard Megafunction(s) Comments ALTACCUMULATE altaccumulate Parameterizedaccumulatormegafunction. 参数化的寄存器模块 ALTECC altecc_decoder Errorcorrectioncode(ECC)megafunction. 数据代码纠正模块 altecc_encoder Errorcorrectioncode(ECC)megafunction. 数据代码纠正模块 ALTFP_ADD_SUB altfp_add_sub Floating-pointadder/subtractormegafunction. 浮点、加法器减法器模块/ ALTFP_COMPARE altfp_compare Parameterizedfloating-pointcomparatormegafunction. 参数化的浮点比较器模块 ALTFP_CONVERT altfp_convert Parameterizedfloating-pointconversionmegafunction. 参数化的浮点转换器模块 ALTFP_DIV altfp_div Parameterizedfloating-pointdividermegafunction. 参数化的浮点分配器模块 ALTFP_MULT altfp_mult Parameterizedfloating-point multipliermegafunction. 参数化的浮点乘法器模块 ALTFP_SQRT altfp_sqrt Parameterizedfloating-pointsquarerootmegafunction. 参数化的浮点平方根模块 ALTMEMMULT altmemmult Parameterizedmemorymultipliermegafunction. 数化的记忆乘法器模块 ALTMULT_ACCUM (MAC) altmult_accum Parameterizedmultiply-accumulatemegafunction. 参数化的乘 累积模块- ALTMULT_ADD altmult_add Parameterizedmultiplier/addermegafunction. 参数化的乘法器加法器模块/ ALMULT_COMPLEX altmult_complex Parameterizedcomplexmultipliermegafunction. 参数化的综合乘法器模块 ALTSQRT altsqrt Parameterizedintegersquarerootmegafunction. 参数化的整数平方根模块 LPM_ABS lpm_abs Parameterizedabsolutevaluemegafunction. 参数化的绝对值模块 LPM_ADD_SUB lpm_add_sub Parameterizedadder/su

文档评论(0)

xxj1658888 + 关注
实名认证
内容提供者

教师资格证持证人

该用户很懒,什么也没介绍

领域认证该用户于2024年04月12日上传了教师资格证

1亿VIP精品文档

相关文档