CH3_单体宣告和资料流模式描述法.ppt

  1. 1、本文档共40页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
單體及架構是VHDL語言之主要部份。單體主要的功能是描述數位電 路之輸出入界面,而架構則是描述電路內部工作的情形。在VHDL語言 中提供了單一的單體宣告方式及三種架構描述模式:資料流模式、行為 模式及結構模式。本章之主要目的即為討論單體之宣告以及以資料流模 式為主之架構描述法。其他兩種架構描述法將分別在第四及第五章說明 之。以下為本章討論之重點: 第一、單體宣告方式:單體宣告之主要目的為將數位電路的輸出及輸入 接腳定義於VHDL程式中,我們在第3.1節中將討論這些接腳的 定義方式。 第二、資料流模式描述法:以資料流模式為主之架構描述法最大的優點 是布林函數定義明確且設計快速,但其缺點為不易描述複雜的電 路。此種描述法將在第3.2節中說明之。 單體之宣告 單體代表一個電路的輸入及輸出界面,亦即單體描述一個電路的外觀。 從單體的宣告中我們可了解其所代表之電路有多少輸出入信號,且那些 信號是輸入、那些是輸出、那些是雙向。在VHDL程式中,對於其所描 述之電路只能有一個單體,其基本宣告之方式如下: entity 單體名稱 is port( 信號 1 : 模式 1 資料型態1 ; 信號 2 : 模式 2 資料型態2 ; … 信號 N : 模式 N 資料型態 N ) ; end單體名稱 ; 在單體宣告中之單體名稱需與該VHDL程式之檔案名稱一致。舉例說 明之,若我們擬設計一4×1之多工器,其VHDL之檔案名稱為 mux4.vhd,則單體名稱必需為mux4。 在上述宣告中定義了N個輸出入埠(Port),而每一個埠均宣告一個信號 物件,同時並定義了該物件之名稱、資料型態及模式。值得注意的是在 單體宣告中所列出的物件都是信號物件,而不會有其他類型之物件。這 些信號物件在單體宣告後就可以使用在程式之任何地方,而不需使用如 2.2節所討論之方式再宣告。 假如有兩個或兩個以上的輸出入埠其物件擁有相同之模式及型態,則 我們可在宣告時予以合併之。舉例說明,若第 i 及 i+1 輸出入埠擁有相同 之模式及型態,則我們可將下列之宣告 … 信號名稱 i : 模式 i 資料型態i ; 信號名稱 i+1 : 模式 i+1 資料型態i+1; … 合併為 … 信號名稱 i , 信號名稱 i +1 : 模式 i 資料型態i ; … 單體宣告之模式可有下列的五種選擇:in、out、inout、buffer及 linkage。現將這些模式中常用的三種in、out、inout簡述如下: 1. in:若該埠之模式為in,則表示該埠為輸入埠。因此,該埠接受單體 外信號之驅動,但不會對外輸出信號。值得注意的是輸入埠上之信號 只可讓單體外信號驅動,然而單體內信號卻不可驅動它。 2. out:若該埠之模式為out,則表示該埠為輸出埠。因此,該埠可驅動 單體外之信號,但不對外接受信號。輸出埠上的信號也不能用來驅動 單體內之信號。 3. inout:若該埠之模式為inout,則表示該埠為雙向埠,其具有接受單 體外信號之驅動,且可驅動單體外之信號驅動之功能。值得注意的是 雖然雙向埠具有較容易使用之特點,但若將單體上所有輸出入埠皆宣 告為雙向則可能降低程式之可讀性。因此,依照信號實際上被指定之 功能來宣告其模式可能是較好的作法。 依圖3.1所示之外觀,此多工器之單體宣告為 entity mux4 is port ( X : in bit_vector(3 downto 0) ; S : in bit_vector(1 downto 0) ; Y : out bit ); end mux4; 由於 X及S均為輸入信號而Y為輸出信號,我們宣告X及S之模式為 in 而Y之模式為out。同時,X、S及Y之型態分別被宣告為bit_vector(3 downto 0)、bit_vector(1 downto 0)及bit。值得

文档评论(0)

kehan123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档