数码管开题报.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数码管开题报

毕业设计(论文)开题报告 课题名称:PLC控制数码显示装置mcgs组态设计 院 (系): 电子与信息工程学院 专业班 级: 应用电子技术 学生姓名: x x x 学 号: 指导教师: x x x 二○一一 年 十二 月 三十 日 一、课题简介: 编好梯形图和组态并通过实验。写出课程设计报告。 用FX2N-48MR-001型PLC实现数码管显示控制。 先按下“启动”按钮,数码管先显示每一段,然后再从0~9显示,并依次循环。 按下“停止”数码管就会停止显示。 二、选题依据(来源)及其意义: 本栏填写: 随着生产力和科学技术的不断发展,人们的日常生活和生产活动大量的自动化控制, 不仅节约了人力资源,而且很大程度的提高了生产效率,又进一步的促进了生产力的快速发展,并不断的丰富着人们的生活。 PLC是一种专门为在工业环境下应用和设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。 所选的题是参与教师的课题,有指导老师参与 三、本课题的实施方案 本栏填写: 1、设计和创作:① 主控制器。本系统的主控制器采用日本三菱公司生产的FX-48MR型PLC,该型PLC功能较强大,提供24个输入点和24个输出点,均采用8进制编号。 三菱PLC的编程指令简单易懂且程序设计灵活,可采用梯形图进行软件设计。 ② 输入输出端子分配方案。FX-2N-48MR型PLC采用整体式结构,其控制单元提供24个输入点,24个输出点。本系统需要2个输入点、8个输出点体的I/O分配为:X000为启动信号,X001为停止信号,Y0-Y7分别驱动引脚A-H. ③ 用功能指令MOV和SEGD指令实现编程。梯形图编写为数码管的输出部分 2、调查报告和论文:① 先搜集资料,做好调研准备,然后调研基本情况,汇总、统计、提取、比较调研结果,最后再进行论证等 ② 实验可采用两种方式,第一种方式是在实验室利用PLC控制实验台进行实验,第二种方式是PLC主机结合PLC编程软件和组态软件,通过计算机辅助设计对各种自动控制系统进行设计和模拟监控。 ③ 通过PLC程序的编程和组态调试,数码管显示每一段并从0-9显示,依次循环。 四、论文(设计)工作进程: 日 期 安 排 工作内容 12 到图书馆和网上查找相关资料。 1 编写毕业设计开题报告,分析材料分拣装置,画出PLC外部接线图,确定I/O口,确定设计思路 2 编写PLC控制数码管运行程序,调试运行 3 数码管组态画面设计、动画连接 4 编写脚本程序、组态控制PLC动作 5~6毕业前 编写说明书,整理资料准备答辩 五、主要参考文献: [1]《可编程序控制器应用指南》 易传禄主编 上海科普出版社, [2]《可编程序控制器原理及应用》 赵金荣 叶真编 上海应用技术学院,2003 [3]《PLC编程及应用》 廖常初主编 机械工业出版社,2003 [4]《可编程控制器教程(基础篇)(实训篇)》 胡学林主编 电子工业出版社,2005 [5] Michel Gilles.Programmabe Logic Controllers:Architecture and Application Wiley.1990. [6]] Cheded.Al-Mulla. Control of a four-level elevator system using a programmable logic controller. International Journal of Electrical Engineering Education,2003 指 导 教 师 意 见 本栏填写: 评语: 某某同学的题为《……》的毕业论文(设计)的开题报告较好(或一般),同意开题。 或此开题报告较好(或一般),同意开题。 说明同意或不同意开题的理由: 建议: 指导教师(签名):

文档评论(0)

sm80033 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档