IP核的应用-信号说明.PPT

  1. 1、本文档共102页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
IP核的应用-信号说明

VHDL高级设计技术 何宾 2011.09 VHDL高级设计技术-本章概要 本章首先介绍基于Xilinx芯片的HDL高级设计技术。在 高级设计技术中主要对提高HDL性能的一些设计方法进 行了比较详细的介绍,其中包括逻辑复制和复用技术、 并行和流水技术、系统同步和异步单元、逻辑结构的设 计方法和模块的划分原则。 本章也对IP核技术进行了比较详细的说明和介绍,其 中包括IP核分类、IP核优化、IP核生成和IP应用技术。 这部分虽然在本书中的篇幅不是很多,但是内容非常重 要,读者在学习该部分内容时要仔细的领会。 VHDL高级设计技术-VHDL代码风格 VHDL代码风格是指两个方面的内容: 一方面是VHDL语言描述规范,即在使用VHDL语言描 述逻辑行为时必须遵守VHDL语言的词法和句法规范,该 描述风格不依赖于EDA软件工具和可编程逻辑器件PLD类 型,仅仅是从VHDL语言出发的代码风格; 另一方面则是VHDL语言对于一特定逻辑单元的描述, 即用VHDL语言的哪一种描述风格进行逻辑行为描述,才 能使电路描述得更准确,布局布线后产生的电路设计最 优,该描述风格不仅需要关注EDA软件在语法细节上的差 异,还要紧密依赖于固有的硬件结构。 VHDL高级设计技术-VHDL代码风格 从本质上讲,使用哪种描述风格描述电路的逻辑行 为,主要取决于两个关键问题: 1、速度和面积问题; 2、功耗问题; VHDL高级设计技术-VHDL代码风格 首先,先说明速度和面积问题。 这里的“面积”主要是指设计所占用的FPGA逻辑资源数 目,即所消耗的触发器和查找表数目。 “速度”是指在芯片上稳定运行时所能够达到的最高频 率。 面积和速度这两个指标始终贯穿着PLD的设计,是评 价设计性能的最主要标准。 VHDL高级设计技术-VHDL代码风格 面积和速度呈反比关系。 如果要提高速度,就需要消耗更多的资源,即需要更 大的面积; 如果减少了面积,就会使系统的处理速度降低。 所以在设计中不可能同时实现既显著提高PLD工作频 率,又显著减少所占用PLD的逻辑资源的数目。 VHDL高级设计技术-VHDL代码风格 在实际设计时,需要在速度和面积之间进行权衡,使得 设计达到面积和速度的最佳结合点。 本章介绍通过采用逻辑复制和复用技术、并行和流水线 技术、同步和异步电路处理技术、逻辑结构处理技术等方 法,在速度和面积之间进行权衡,达到最佳的性能和资源 要求。 VHDL高级设计技术-VHDL代码风格 其次,说明功耗问题。 随着PLD工作频率的显著提高,功耗成为一个引起 EDA设计人员密切关注的问题。 由于PLD工作频率的提高,逻辑单元的切换频率也相应 提高,相应的会引起PLD功耗增大。 这样就存在着频率和功耗之间的矛盾,因此必须在逻 辑单元的切换速度和功耗之间进行权衡,通过合理的设 计,减少逻辑单元不必要的切换,这样可以在一定程度上 降低功耗。 VHDL高级设计技术-扇出 扇出是指某一器件的输出驱动与之相连的后续器件的能 力。众所周知,一个器件的扇出数是有限制的。扇出数目 越多,所要求的驱动能力越高。在PLD芯片内,如果一个 逻辑单元的扇出数过多的话,会降低其工作速度,并且会 对布线造成困难。因此,在PLD逻辑资源允许的情况下, 要尽量降低扇出数。 VHDL高级设计技术-逻辑复制 逻辑复制是通过增加面积而改善设计时序的优化方法, 经常用于调整信号的扇出。如果信号具有高的扇出,则要 添加缓存器来增强驱动能力,但这会增大信号的时延。 通过逻辑复制,使用多个相同的信号来分担驱动任务。 这样,每路信号的扇出就会变低,就不需要额外的缓冲器 来增强驱动,即可减少信号的路径延迟。 VHDL高级设计技术-逻辑复制 通过逻辑单元的复制,减少扇出数,可以解决下面两 个方面的问题:减少网络延迟;多个器件分布在不同的区 域,这样可以大大降低布线阻塞情况的发生。 在使用增加器件减少扇出数目的时候,必须要注意的 是,如果是异步单元的话,必须对该单元进行同步处理。 VHDL高级设计技术-逻辑复制 VHDL高级设计技术-逻辑复用技术 逻辑复用是指在完成相同的功能下,尽量减少所使用的 逻辑单元的数目。这样在不影响设计性能的情况下,可以 降低资源的使用。下面通过一个共享加法器的例子来说明 这个问题。 VHDL高级设计技术-逻辑复用技术 VHDL高级设计技术-逻辑复用技术 VHDL高级设计技术-逻辑复用技术

您可能关注的文档

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档