硬件描述语言及其特点VHDL程序基本结构VHDL语言要素VHDL结构.PPT

硬件描述语言及其特点VHDL程序基本结构VHDL语言要素VHDL结构.PPT

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
硬件描述语言及其特点VHDL程序基本结构VHDL语言要素VHDL结构

* * * * * * * * * * * * * * * VHDL编程基础 主要内容 硬件描述语言及其特点 VHDL程序基本结构 VHDL语言要素 VHDL结构体描述方式 4.1 硬件描述语言及其特点 4.1.1 硬件描述语言的基本概念 硬件描述语言很好地解决了文本语言描述和逻辑图描述存在的不足。它是一种类似于C、C++的计算机高级语言,允许设计者通过精确定义的语句描述逻辑网络的任何操作,更重要的可以通过计算机仿真设计好的逻辑网络,而且仿真中包含了硬件特性。 4.1.2 常用硬件描述语言简介 目前常用的硬件描述语言有 VHDL、Verilog、AHDL和 ABEL 语言。 VHDL 的英文全名是VHSIC(Very High Speed Integrated Circuit)Hardware Description Language, 1982年发源于美国国防部,1987年底被和美国国防部确认为标准硬件描述语言。1993年,IEEE 对 VHDL 进行了修订,公布了新版本的 VHDL,即 IEEE 标准的1076-1993版本。 4.1 硬件描述语言及其特点(续) 4.1.3 VHDL特点 VHDL具有更强的行为描述能力 支持团队设计模式 系统设计与硬件结构无关 具有较强的预测能力 自动化程度高 具有极强的移植能力 4.2 VHDL程序基本结构 4.2.1 实体描述 实体是一个模块的表层设计单元,其功能是该模块与外部电路进行接口的描述,它定义了模块的输入输出接口信号或引脚,是模块经封装后对外的一个通信界面。 ENTITY simple gate IS PORT( A, B, C: IN BIT; F : OUT BIT ); END simple gate; 4.2.1 实体描述(续) 端口声明的语句格式是: 标识符:端口模式 数据类型; VHDL可综合的端口模式有以下四种: “IN”通道为单向只读模式,规定数据只能通过此端口被读入实体中。 “OUT”通道为单向输出模式,规定数据只能通过此端口从实体向外流出,或者说可以将实体中的数据向此端口赋值。 “INOUT” 定义的通道确定为输入输出双向端口,即从端口的内部看,可以对此端口进行赋值,也可以通过此端口读入外部的数据;而从端口的外部看,信号既可以从此端口流出,也可以向此端口输入信号,如RAM的数据端口。 “BUFFER” 功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。 4.2.2 结构体描述 定义好模块的实体以后,就可以用结构体声明描述模块具体做什么,实现怎样的逻辑功能。最典型的结构体描述方式有以下三种: 行为描述:不考虑硬件实现的途径,直接建立输入与输出之间的关系。 结构描述:通过组合如基本逻辑门电路等更初级的部件构造实现逻辑功能。 数据流描述:通过定义模块中信号的流动方向描述模块功能,也可以看作一种特殊的行为描述模式。 4.2.2 结构体描述(续) VHDL语言中,结构体描述的一般形式如下: ARCHITECTURE 结构体名 OF 实体名 IS 说明语句1; 说明语句2; … BEGIN 功能描述语句1; 功能描述语句2; … END 结构体名; 4.2.3 模块设计实例 --双输入与非门实体描述 ENTITY nand2 IS PORT (A, B: IN BIT; F: OUT BIT ); END nand2; --以下是双输入与非门的结构体描述 ARCHITECTURE behavioral OF nand2 IS BEGIN F=A NAND B; END behavioral; 例4.1 双输入与非门的VHDL设计 4.2.3 模块设计实例(续) --2选1多路选择器的实体描述 ENTITY mux21 IS PORT(A,B: IN BIT; S: IN BIT; Y: OUT BIT); END mux21; --以下是2选1多路选择器的结构体描述ARCHITECTURE structural OF mux21 IS SIGNAL D, E: BIT; BEGIN D=A AND S; E=B AND (NOT S);

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档