71宏功能模块概述.PPT

  1. 1、本文档共55页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
71宏功能模块概述

EDA技术与VHDL 第7章 LPM参数化宏模块应用 7.1 宏功能模块概述 7.1 宏功能模块概述 7.1 宏功能模块概述 7.1 宏功能模块概述 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.2 LPM模块应用实例 7.3 在系统存储器数据读写编辑器应用 7.3 在系统存储器数据读写编辑器应用 7.3 在系统存储器数据读写编辑器应用 7.3 在系统存储器数据读写编辑器应用 7.4 编辑SignalTapII的触发信号 7.4 编辑SignalTapII的触发信号 7.4 编辑SignalTapII的触发信号 7.5 其它存储器模块的定制与应用 7.5 其它存储器模块的定制与应用 7.5 其它存储器模块的定制与应用 7.5 其它存储器模块的定制与应用 7.5 其它存储器模块的定制与应用 7.6流水线乘法累加器的混合输入设计 7.6流水线乘法累加器的混合输入设计 7.6流水线乘法累加器的混合输入设计 7.6流水线乘法累加器的混合输入设计 7.6流水线乘法累加器的混合输入设计 7.6流水线乘法累加器的混合输入设计 7.7 LPM嵌入式锁相环调用 7.7 LPM嵌入式锁相环调用 7.7 LPM嵌入式锁相环调用 7.7 LPM嵌入式锁相环调用 习 题 实 验 与 设 计 实 验 与 设 计 实 验 与 设 计 实 验 与 设 计 实 验 与 设 计 实 验 与 设 计 实 验 与 设 计 7.5.2 FIFO定制 图7-22 FIFO编辑窗 7.5.2 FIFO定制 图7-23 FIFO的仿真波形 7.5.3 基于LPM_ROM的4位乘法器设计 【例7-5】 WIDTH = 8 ; DEPTH = 256 ; ADDRESS_RADIX = HEX ; DATA_RADIX = HEX ; CONTENT BEGIN 00:00 ; 01:00 ; 02:00 ; 03:00 ; 04:00 ; 05:00 ; 06:00 ; 07:00 ; 08:00 ; 09:00; 10:00 ; 11:01 ; 12:02 ; 13:03 ; 14:04 ; 15:05 ; 16:06 ; 17:07 ; 18:08 ; 19:09; 20:00 ; 21:02 ; 22:04 ; 23:06 ; 24:08 ; 25:10 ; 26:12 ; 27:14 ; 28:16 ; 29:18; 30:00 ; 31:03 ; 32:06 ; 33:09 ; 34:12 ; 35:15 ; 36:18 ; 37:21 ; 38:24 ; 39:27; 40:00 ; 41:04 ; 42:08 ; 43:12 ; 44:16 ; 45:20 ; 46:24 ; 47:28 ; 48:32 ; 49:36; 50:00 ; 51:05 ; 52:10 ; 53:15 ; 54:20 ; 55:25 ; 56:30 ; 57:35 ; 58:40 ; 59:45; 60:00 ; 61:06 ; 62:12 ; 63:18 ; 64:24 ; 65:30 ; 66:36 ; 67:42 ; 68:48 ; 69:54; 70:00 ; 71:07 ; 72:14 ; 73:21 ; 74:28 ; 75:35 ; 76:42 ; 77:49 ; 78:56 ; 79:63; 80:00 ; 81:08 ; 82:16 ; 83:24 ; 84:32 ; 85:40 ; 86:48 ; 87:56 ; 88:64 ; 89:72; 90:00 ; 91:09 ; 92:18 ; 93:27 ; 94:36 ; 95:45 ; 96:54 ; 97:63 ; 98:72 ; 99:81; END ; (1)用VHDL设计16位加法器。 【例7-6】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ADDER16B IS PORT ( CIN : IN STD_LOGIC; A,B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档